/* WARNING: Do NOT edit the input and output ports in this file in a text editor if you plan to continue editing the block that represents it in the Block Editor! File corruption is VERY likely to occur. */ /* Copyright (C) 1991-2010 Altera Corporation Your use of Altera Corporation's design tools, logic functions and other software and tools, and its AMPP partner logic functions, and any output files from any of the foregoing (including device programming or simulation files), and any associated documentation or information are expressly subject to the terms and conditions of the Altera Program License Subscription Agreement, Altera MegaCore Function License Agreement, or other applicable license agreement, including, without limitation, that your use is for the sole purpose of programming logic devices manufactured by Altera and sold by Altera or its authorized distributors. Please refer to the applicable agreement for further details. */ //#pragma file_not_in_maxplusii_format (header "graphic" (version "1.3")) (properties (page_setup "header_footer\nDate: %D\n%f\nProject: %j\n\nPage %p of %P\nRevision: %a\nmargin\n1\n1\n1\n1\norientation\n1\npaper_size\n1\npaper_source\n1\nfit_page_wide\n1\nfit_page_tall\n1\n") ) (pin (input) (rect 1504 -112 1672 -96) (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) (text "A1" (rect 5 0 17 12)(font "Arial" )) (pt 168 8) (drawing (line (pt 92 12)(pt 117 12)(line_width 1)) (line (pt 92 4)(pt 117 4)(line_width 1)) (line (pt 121 8)(pt 168 8)(line_width 1)) (line (pt 92 12)(pt 92 4)(line_width 1)) (line (pt 117 4)(pt 121 8)(line_width 1)) (line (pt 117 12)(pt 121 8)(line_width 1)) ) (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) ) (pin (input) (rect 1504 -88 1672 -72) (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) (text "A2" (rect 5 0 17 12)(font "Arial" )) (pt 168 8) (drawing (line (pt 92 12)(pt 117 12)(line_width 1)) (line (pt 92 4)(pt 117 4)(line_width 1)) (line (pt 121 8)(pt 168 8)(line_width 1)) (line (pt 92 12)(pt 92 4)(line_width 1)) (line (pt 117 4)(pt 121 8)(line_width 1)) (line (pt 117 12)(pt 121 8)(line_width 1)) ) (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) ) (pin (input) (rect 1504 -64 1672 -48) (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) (text "A3" (rect 5 0 17 12)(font "Arial" )) (pt 168 8) (drawing (line (pt 92 12)(pt 117 12)(line_width 1)) (line (pt 92 4)(pt 117 4)(line_width 1)) (line (pt 121 8)(pt 168 8)(line_width 1)) (line (pt 92 12)(pt 92 4)(line_width 1)) (line (pt 117 4)(pt 121 8)(line_width 1)) (line (pt 117 12)(pt 121 8)(line_width 1)) ) (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) ) (pin (input) (rect 1680 -112 1848 -96) (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) (text "B1" (rect 5 0 17 12)(font "Arial" )) (pt 168 8) (drawing (line (pt 92 12)(pt 117 12)(line_width 1)) (line (pt 92 4)(pt 117 4)(line_width 1)) (line (pt 121 8)(pt 168 8)(line_width 1)) (line (pt 92 12)(pt 92 4)(line_width 1)) (line (pt 117 4)(pt 121 8)(line_width 1)) (line (pt 117 12)(pt 121 8)(line_width 1)) ) (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) ) (pin (input) (rect 1680 -88 1848 -72) (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) (text "B2" (rect 5 0 17 12)(font "Arial" )) (pt 168 8) (drawing (line (pt 92 12)(pt 117 12)(line_width 1)) (line (pt 92 4)(pt 117 4)(line_width 1)) (line (pt 121 8)(pt 168 8)(line_width 1)) (line (pt 92 12)(pt 92 4)(line_width 1)) (line (pt 117 4)(pt 121 8)(line_width 1)) (line (pt 117 12)(pt 121 8)(line_width 1)) ) (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) ) (pin (input) (rect 1680 -64 1848 -48) (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) (text "B3" (rect 5 0 17 12)(font "Arial" )) (pt 168 8) (drawing (line (pt 92 12)(pt 117 12)(line_width 1)) (line (pt 92 4)(pt 117 4)(line_width 1)) (line (pt 121 8)(pt 168 8)(line_width 1)) (line (pt 92 12)(pt 92 4)(line_width 1)) (line (pt 117 4)(pt 121 8)(line_width 1)) (line (pt 117 12)(pt 121 8)(line_width 1)) ) (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) ) (pin (input) (rect 1848 -40 2016 -24) (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) (text "B4" (rect 5 0 17 12)(font "Arial" )) (pt 168 8) (drawing (line (pt 92 12)(pt 117 12)(line_width 1)) (line (pt 92 4)(pt 117 4)(line_width 1)) (line (pt 121 8)(pt 168 8)(line_width 1)) (line (pt 92 12)(pt 92 4)(line_width 1)) (line (pt 117 4)(pt 121 8)(line_width 1)) (line (pt 117 12)(pt 121 8)(line_width 1)) ) (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) ) (pin (input) (rect 1848 -16 2016 0) (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) (text "Cin" (rect 5 0 21 12)(font "Arial" )) (pt 168 8) (drawing (line (pt 92 12)(pt 117 12)(line_width 1)) (line (pt 92 4)(pt 117 4)(line_width 1)) (line (pt 121 8)(pt 168 8)(line_width 1)) (line (pt 92 12)(pt 92 4)(line_width 1)) (line (pt 117 4)(pt 121 8)(line_width 1)) (line (pt 117 12)(pt 121 8)(line_width 1)) ) (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) ) (pin (input) (rect 1672 -16 1840 0) (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) (text "S0" (rect 5 0 17 12)(font "Arial" )) (pt 168 8) (drawing (line (pt 92 12)(pt 117 12)(line_width 1)) (line (pt 92 4)(pt 117 4)(line_width 1)) (line (pt 121 8)(pt 168 8)(line_width 1)) (line (pt 92 12)(pt 92 4)(line_width 1)) (line (pt 117 4)(pt 121 8)(line_width 1)) (line (pt 117 12)(pt 121 8)(line_width 1)) ) (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) ) (pin (input) (rect 1672 8 1840 24) (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) (text "S1" (rect 5 0 17 12)(font "Arial" )) (pt 168 8) (drawing (line (pt 92 12)(pt 117 12)(line_width 1)) (line (pt 92 4)(pt 117 4)(line_width 1)) (line (pt 121 8)(pt 168 8)(line_width 1)) (line (pt 92 12)(pt 92 4)(line_width 1)) (line (pt 117 4)(pt 121 8)(line_width 1)) (line (pt 117 12)(pt 121 8)(line_width 1)) ) (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) ) (pin (input) (rect 1672 -40 1840 -24) (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) (text "A4" (rect 5 0 17 12)(font "Arial" )) (pt 168 8) (drawing (line (pt 92 12)(pt 117 12)(line_width 1)) (line (pt 92 4)(pt 117 4)(line_width 1)) (line (pt 121 8)(pt 168 8)(line_width 1)) (line (pt 92 12)(pt 92 4)(line_width 1)) (line (pt 117 4)(pt 121 8)(line_width 1)) (line (pt 117 12)(pt 121 8)(line_width 1)) ) (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) ) (pin (input) (rect 1672 32 1840 48) (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) (text "S2" (rect 5 0 17 12)(font "Arial" )) (pt 168 8) (drawing (line (pt 92 12)(pt 117 12)(line_width 1)) (line (pt 92 4)(pt 117 4)(line_width 1)) (line (pt 121 8)(pt 168 8)(line_width 1)) (line (pt 92 12)(pt 92 4)(line_width 1)) (line (pt 117 4)(pt 121 8)(line_width 1)) (line (pt 117 12)(pt 121 8)(line_width 1)) ) (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) ) (pin (input) (rect 1504 -160 1672 -144) (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) (text "MSB0" (rect 5 0 33 12)(font "Arial" )) (pt 168 8) (drawing (line (pt 92 12)(pt 117 12)(line_width 1)) (line (pt 92 4)(pt 117 4)(line_width 1)) (line (pt 121 8)(pt 168 8)(line_width 1)) (line (pt 92 12)(pt 92 4)(line_width 1)) (line (pt 117 4)(pt 121 8)(line_width 1)) (line (pt 117 12)(pt 121 8)(line_width 1)) ) (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) ) (pin (input) (rect 1504 -136 1672 -120) (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) (text "MSB1" (rect 5 0 33 12)(font "Arial" )) (pt 168 8) (drawing (line (pt 92 12)(pt 117 12)(line_width 1)) (line (pt 92 4)(pt 117 4)(line_width 1)) (line (pt 121 8)(pt 168 8)(line_width 1)) (line (pt 92 12)(pt 92 4)(line_width 1)) (line (pt 117 4)(pt 121 8)(line_width 1)) (line (pt 117 12)(pt 121 8)(line_width 1)) ) (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) ) (pin (input) (rect -192 -760 -24 -744) (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) (text "CLK" (rect 5 0 26 12)(font "Arial" )) (pt 168 8) (drawing (line (pt 92 12)(pt 117 12)(line_width 1)) (line (pt 92 4)(pt 117 4)(line_width 1)) (line (pt 121 8)(pt 168 8)(line_width 1)) (line (pt 92 12)(pt 92 4)(line_width 1)) (line (pt 117 4)(pt 121 8)(line_width 1)) (line (pt 117 12)(pt 121 8)(line_width 1)) ) (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) ) (pin (output) (rect 1752 24 1928 40) (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "O1" (rect 90 0 104 12)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)(line_width 1)) (line (pt 52 4)(pt 78 4)(line_width 1)) (line (pt 52 12)(pt 78 12)(line_width 1)) (line (pt 52 12)(pt 52 4)(line_width 1)) (line (pt 78 4)(pt 82 8)(line_width 1)) (line (pt 82 8)(pt 78 12)(line_width 1)) (line (pt 78 12)(pt 82 8)(line_width 1)) ) ) (pin (output) (rect 1752 48 1928 64) (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "O2" (rect 90 0 104 12)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)(line_width 1)) (line (pt 52 4)(pt 78 4)(line_width 1)) (line (pt 52 12)(pt 78 12)(line_width 1)) (line (pt 52 12)(pt 52 4)(line_width 1)) (line (pt 78 4)(pt 82 8)(line_width 1)) (line (pt 82 8)(pt 78 12)(line_width 1)) (line (pt 78 12)(pt 82 8)(line_width 1)) ) ) (pin (output) (rect 1752 72 1928 88) (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "O3" (rect 90 0 104 12)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)(line_width 1)) (line (pt 52 4)(pt 78 4)(line_width 1)) (line (pt 52 12)(pt 78 12)(line_width 1)) (line (pt 52 12)(pt 52 4)(line_width 1)) (line (pt 78 4)(pt 82 8)(line_width 1)) (line (pt 82 8)(pt 78 12)(line_width 1)) (line (pt 78 12)(pt 82 8)(line_width 1)) ) ) (pin (output) (rect 1752 96 1928 112) (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "O4" (rect 90 0 104 12)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)(line_width 1)) (line (pt 52 4)(pt 78 4)(line_width 1)) (line (pt 52 12)(pt 78 12)(line_width 1)) (line (pt 52 12)(pt 52 4)(line_width 1)) (line (pt 78 4)(pt 82 8)(line_width 1)) (line (pt 82 8)(pt 78 12)(line_width 1)) (line (pt 78 12)(pt 82 8)(line_width 1)) ) ) (pin (output) (rect 1752 120 1928 136) (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "Cout" (rect 90 0 113 12)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)(line_width 1)) (line (pt 52 4)(pt 78 4)(line_width 1)) (line (pt 52 12)(pt 78 12)(line_width 1)) (line (pt 52 12)(pt 52 4)(line_width 1)) (line (pt 78 4)(pt 82 8)(line_width 1)) (line (pt 82 8)(pt 78 12)(line_width 1)) (line (pt 78 12)(pt 82 8)(line_width 1)) ) ) (symbol (rect 184 48 232 80) (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) (text "inst" (rect 3 21 20 33)(font "Arial" )) (port (pt 0 16) (input) (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 13 16)(line_width 1)) ) (port (pt 48 16) (output) (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) (line (pt 39 16)(pt 48 16)(line_width 1)) ) (drawing (line (pt 13 25)(pt 13 7)(line_width 1)) (line (pt 13 7)(pt 31 16)(line_width 1)) (line (pt 13 25)(pt 31 16)(line_width 1)) (circle (rect 31 12 39 20)(line_width 1)) ) ) (symbol (rect 184 88 232 120) (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) (text "inst1" (rect 3 21 26 33)(font "Arial" )) (port (pt 0 16) (input) (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 13 16)(line_width 1)) ) (port (pt 48 16) (output) (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) (line (pt 39 16)(pt 48 16)(line_width 1)) ) (drawing (line (pt 13 25)(pt 13 7)(line_width 1)) (line (pt 13 7)(pt 31 16)(line_width 1)) (line (pt 13 25)(pt 31 16)(line_width 1)) (circle (rect 31 12 39 20)(line_width 1)) ) ) (symbol (rect 184 128 232 160) (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) (text "inst2" (rect 3 21 26 33)(font "Arial" )) (port (pt 0 16) (input) (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 13 16)(line_width 1)) ) (port (pt 48 16) (output) (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) (line (pt 39 16)(pt 48 16)(line_width 1)) ) (drawing (line (pt 13 25)(pt 13 7)(line_width 1)) (line (pt 13 7)(pt 31 16)(line_width 1)) (line (pt 13 25)(pt 31 16)(line_width 1)) (circle (rect 31 12 39 20)(line_width 1)) ) ) (symbol (rect 184 168 232 200) (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) (text "inst3" (rect 3 21 26 33)(font "Arial" )) (port (pt 0 16) (input) (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 13 16)(line_width 1)) ) (port (pt 48 16) (output) (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) (line (pt 39 16)(pt 48 16)(line_width 1)) ) (drawing (line (pt 13 25)(pt 13 7)(line_width 1)) (line (pt 13 7)(pt 31 16)(line_width 1)) (line (pt 13 25)(pt 31 16)(line_width 1)) (circle (rect 31 12 39 20)(line_width 1)) ) ) (symbol (rect 576 -8 640 40) (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst4" (rect 3 37 26 49)(font "Arial" )) (port (pt 0 16) (input) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 14 16)(line_width 1)) ) (port (pt 0 32) (input) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 14 32)(line_width 1)) ) (port (pt 64 24) (output) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (line (pt 42 24)(pt 64 24)(line_width 1)) ) (drawing (line (pt 14 12)(pt 30 12)(line_width 1)) (line (pt 14 37)(pt 31 37)(line_width 1)) (line (pt 14 12)(pt 14 37)(line_width 1)) (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)(line_width 1)) ) ) (symbol (rect 576 64 640 112) (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst5" (rect 3 37 26 49)(font "Arial" )) (port (pt 0 16) (input) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 14 16)(line_width 1)) ) (port (pt 0 32) (input) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 14 32)(line_width 1)) ) (port (pt 64 24) (output) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (line (pt 42 24)(pt 64 24)(line_width 1)) ) (drawing (line (pt 14 12)(pt 30 12)(line_width 1)) (line (pt 14 37)(pt 31 37)(line_width 1)) (line (pt 14 12)(pt 14 37)(line_width 1)) (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)(line_width 1)) ) ) (symbol (rect 576 136 640 184) (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst6" (rect 3 37 26 49)(font "Arial" )) (port (pt 0 16) (input) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 14 16)(line_width 1)) ) (port (pt 0 32) (input) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 14 32)(line_width 1)) ) (port (pt 64 24) (output) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (line (pt 42 24)(pt 64 24)(line_width 1)) ) (drawing (line (pt 14 12)(pt 30 12)(line_width 1)) (line (pt 14 37)(pt 31 37)(line_width 1)) (line (pt 14 12)(pt 14 37)(line_width 1)) (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)(line_width 1)) ) ) (symbol (rect 576 208 640 256) (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst7" (rect 3 37 26 49)(font "Arial" )) (port (pt 0 16) (input) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 14 16)(line_width 1)) ) (port (pt 0 32) (input) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 14 32)(line_width 1)) ) (port (pt 64 24) (output) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (line (pt 42 24)(pt 64 24)(line_width 1)) ) (drawing (line (pt 14 12)(pt 30 12)(line_width 1)) (line (pt 14 37)(pt 31 37)(line_width 1)) (line (pt 14 12)(pt 14 37)(line_width 1)) (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)(line_width 1)) ) ) (symbol (rect 848 8 912 56) (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) (text "inst8" (rect 3 37 26 49)(font "Arial" )) (port (pt 0 32) (input) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 15 32)(line_width 1)) ) (port (pt 0 16) (input) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 15 16)(line_width 1)) ) (port (pt 64 24) (output) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (line (pt 48 24)(pt 64 24)(line_width 1)) ) (drawing (line (pt 14 36)(pt 25 36)(line_width 1)) (line (pt 14 13)(pt 25 13)(line_width 1)) (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)(line_width 1)) (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)(line_width 1)) (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)(line_width 1)) ) ) (symbol (rect 848 64 912 112) (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) (text "inst9" (rect 3 37 26 49)(font "Arial" )) (port (pt 0 32) (input) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 15 32)(line_width 1)) ) (port (pt 0 16) (input) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 15 16)(line_width 1)) ) (port (pt 64 24) (output) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (line (pt 48 24)(pt 64 24)(line_width 1)) ) (drawing (line (pt 14 36)(pt 25 36)(line_width 1)) (line (pt 14 13)(pt 25 13)(line_width 1)) (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)(line_width 1)) (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)(line_width 1)) (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)(line_width 1)) ) ) (symbol (rect 848 120 912 168) (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) (text "inst10" (rect 3 37 32 49)(font "Arial" )) (port (pt 0 32) (input) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 15 32)(line_width 1)) ) (port (pt 0 16) (input) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 15 16)(line_width 1)) ) (port (pt 64 24) (output) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (line (pt 48 24)(pt 64 24)(line_width 1)) ) (drawing (line (pt 14 36)(pt 25 36)(line_width 1)) (line (pt 14 13)(pt 25 13)(line_width 1)) (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)(line_width 1)) (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)(line_width 1)) (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)(line_width 1)) ) ) (symbol (rect 848 216 912 264) (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) (text "inst11" (rect 3 37 32 49)(font "Arial" )) (port (pt 0 32) (input) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 15 32)(line_width 1)) ) (port (pt 0 16) (input) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 15 16)(line_width 1)) ) (port (pt 64 24) (output) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (line (pt 48 24)(pt 64 24)(line_width 1)) ) (drawing (line (pt 14 36)(pt 25 36)(line_width 1)) (line (pt 14 13)(pt 25 13)(line_width 1)) (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)(line_width 1)) (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)(line_width 1)) (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)(line_width 1)) ) ) (symbol (rect 128 296 192 344) (text "XOR" (rect 1 0 21 10)(font "Arial" (font_size 6))) (text "inst12" (rect 3 37 32 49)(font "Arial" )) (port (pt 0 16) (input) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 11 16)(line_width 1)) ) (port (pt 0 32) (input) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 11 32)(line_width 1)) ) (port (pt 64 24) (output) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (line (pt 49 24)(pt 64 24)(line_width 1)) ) (drawing (line (pt 14 13)(pt 25 13)(line_width 1)) (line (pt 14 36)(pt 25 36)(line_width 1)) (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)(line_width 1)) (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)(line_width 1)) (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)(line_width 1)) (arc (pt 8 36)(pt 8 12)(rect -21 7 14 42)(line_width 1)) ) ) (symbol (rect 312 304 376 352) (text "XOR" (rect 1 0 21 10)(font "Arial" (font_size 6))) (text "inst13" (rect 3 37 32 49)(font "Arial" )) (port (pt 0 16) (input) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 11 16)(line_width 1)) ) (port (pt 0 32) (input) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 11 32)(line_width 1)) ) (port (pt 64 24) (output) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (line (pt 49 24)(pt 64 24)(line_width 1)) ) (drawing (line (pt 14 13)(pt 25 13)(line_width 1)) (line (pt 14 36)(pt 25 36)(line_width 1)) (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)(line_width 1)) (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)(line_width 1)) (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)(line_width 1)) (arc (pt 8 36)(pt 8 12)(rect -21 7 14 42)(line_width 1)) ) ) (symbol (rect 216 376 280 424) (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst14" (rect 3 37 32 49)(font "Arial" )) (port (pt 0 16) (input) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 14 16)(line_width 1)) ) (port (pt 0 32) (input) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 14 32)(line_width 1)) ) (port (pt 64 24) (output) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (line (pt 42 24)(pt 64 24)(line_width 1)) ) (drawing (line (pt 14 12)(pt 30 12)(line_width 1)) (line (pt 14 37)(pt 31 37)(line_width 1)) (line (pt 14 12)(pt 14 37)(line_width 1)) (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)(line_width 1)) ) ) (symbol (rect 216 432 280 480) (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst15" (rect 3 37 32 49)(font "Arial" )) (port (pt 0 16) (input) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 14 16)(line_width 1)) ) (port (pt 0 32) (input) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 14 32)(line_width 1)) ) (port (pt 64 24) (output) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (line (pt 42 24)(pt 64 24)(line_width 1)) ) (drawing (line (pt 14 12)(pt 30 12)(line_width 1)) (line (pt 14 37)(pt 31 37)(line_width 1)) (line (pt 14 12)(pt 14 37)(line_width 1)) (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)(line_width 1)) ) ) (symbol (rect 312 360 376 408) (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) (text "inst16" (rect 3 37 32 49)(font "Arial" )) (port (pt 0 32) (input) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 15 32)(line_width 1)) ) (port (pt 0 16) (input) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 15 16)(line_width 1)) ) (port (pt 64 24) (output) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (line (pt 48 24)(pt 64 24)(line_width 1)) ) (drawing (line (pt 14 36)(pt 25 36)(line_width 1)) (line (pt 14 13)(pt 25 13)(line_width 1)) (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)(line_width 1)) (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)(line_width 1)) (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)(line_width 1)) ) ) (symbol (rect 136 504 200 552) (text "XOR" (rect 1 0 21 10)(font "Arial" (font_size 6))) (text "inst17" (rect 3 37 32 49)(font "Arial" )) (port (pt 0 16) (input) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 11 16)(line_width 1)) ) (port (pt 0 32) (input) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 11 32)(line_width 1)) ) (port (pt 64 24) (output) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (line (pt 49 24)(pt 64 24)(line_width 1)) ) (drawing (line (pt 14 13)(pt 25 13)(line_width 1)) (line (pt 14 36)(pt 25 36)(line_width 1)) (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)(line_width 1)) (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)(line_width 1)) (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)(line_width 1)) (arc (pt 8 36)(pt 8 12)(rect -21 7 14 42)(line_width 1)) ) ) (symbol (rect 320 512 384 560) (text "XOR" (rect 1 0 21 10)(font "Arial" (font_size 6))) (text "inst18" (rect 3 37 32 49)(font "Arial" )) (port (pt 0 16) (input) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 11 16)(line_width 1)) ) (port (pt 0 32) (input) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 11 32)(line_width 1)) ) (port (pt 64 24) (output) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (line (pt 49 24)(pt 64 24)(line_width 1)) ) (drawing (line (pt 14 13)(pt 25 13)(line_width 1)) (line (pt 14 36)(pt 25 36)(line_width 1)) (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)(line_width 1)) (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)(line_width 1)) (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)(line_width 1)) (arc (pt 8 36)(pt 8 12)(rect -21 7 14 42)(line_width 1)) ) ) (symbol (rect 224 584 288 632) (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst19" (rect 3 37 32 49)(font "Arial" )) (port (pt 0 16) (input) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 14 16)(line_width 1)) ) (port (pt 0 32) (input) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 14 32)(line_width 1)) ) (port (pt 64 24) (output) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (line (pt 42 24)(pt 64 24)(line_width 1)) ) (drawing (line (pt 14 12)(pt 30 12)(line_width 1)) (line (pt 14 37)(pt 31 37)(line_width 1)) (line (pt 14 12)(pt 14 37)(line_width 1)) (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)(line_width 1)) ) ) (symbol (rect 224 640 288 688) (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst20" (rect 3 37 32 49)(font "Arial" )) (port (pt 0 16) (input) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 14 16)(line_width 1)) ) (port (pt 0 32) (input) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 14 32)(line_width 1)) ) (port (pt 64 24) (output) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (line (pt 42 24)(pt 64 24)(line_width 1)) ) (drawing (line (pt 14 12)(pt 30 12)(line_width 1)) (line (pt 14 37)(pt 31 37)(line_width 1)) (line (pt 14 12)(pt 14 37)(line_width 1)) (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)(line_width 1)) ) ) (symbol (rect 320 568 384 616) (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) (text "inst21" (rect 3 37 32 49)(font "Arial" )) (port (pt 0 32) (input) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 15 32)(line_width 1)) ) (port (pt 0 16) (input) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 15 16)(line_width 1)) ) (port (pt 64 24) (output) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (line (pt 48 24)(pt 64 24)(line_width 1)) ) (drawing (line (pt 14 36)(pt 25 36)(line_width 1)) (line (pt 14 13)(pt 25 13)(line_width 1)) (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)(line_width 1)) (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)(line_width 1)) (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)(line_width 1)) ) ) (symbol (rect 136 704 200 752) (text "XOR" (rect 1 0 21 10)(font "Arial" (font_size 6))) (text "inst22" (rect 3 37 32 49)(font "Arial" )) (port (pt 0 16) (input) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 11 16)(line_width 1)) ) (port (pt 0 32) (input) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 11 32)(line_width 1)) ) (port (pt 64 24) (output) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (line (pt 49 24)(pt 64 24)(line_width 1)) ) (drawing (line (pt 14 13)(pt 25 13)(line_width 1)) (line (pt 14 36)(pt 25 36)(line_width 1)) (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)(line_width 1)) (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)(line_width 1)) (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)(line_width 1)) (arc (pt 8 36)(pt 8 12)(rect -21 7 14 42)(line_width 1)) ) ) (symbol (rect 320 712 384 760) (text "XOR" (rect 1 0 21 10)(font "Arial" (font_size 6))) (text "inst23" (rect 3 37 32 49)(font "Arial" )) (port (pt 0 16) (input) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 11 16)(line_width 1)) ) (port (pt 0 32) (input) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 11 32)(line_width 1)) ) (port (pt 64 24) (output) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (line (pt 49 24)(pt 64 24)(line_width 1)) ) (drawing (line (pt 14 13)(pt 25 13)(line_width 1)) (line (pt 14 36)(pt 25 36)(line_width 1)) (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)(line_width 1)) (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)(line_width 1)) (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)(line_width 1)) (arc (pt 8 36)(pt 8 12)(rect -21 7 14 42)(line_width 1)) ) ) (symbol (rect 224 784 288 832) (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst24" (rect 3 37 32 49)(font "Arial" )) (port (pt 0 16) (input) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 14 16)(line_width 1)) ) (port (pt 0 32) (input) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 14 32)(line_width 1)) ) (port (pt 64 24) (output) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (line (pt 42 24)(pt 64 24)(line_width 1)) ) (drawing (line (pt 14 12)(pt 30 12)(line_width 1)) (line (pt 14 37)(pt 31 37)(line_width 1)) (line (pt 14 12)(pt 14 37)(line_width 1)) (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)(line_width 1)) ) ) (symbol (rect 224 840 288 888) (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst25" (rect 3 37 32 49)(font "Arial" )) (port (pt 0 16) (input) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 14 16)(line_width 1)) ) (port (pt 0 32) (input) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 14 32)(line_width 1)) ) (port (pt 64 24) (output) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (line (pt 42 24)(pt 64 24)(line_width 1)) ) (drawing (line (pt 14 12)(pt 30 12)(line_width 1)) (line (pt 14 37)(pt 31 37)(line_width 1)) (line (pt 14 12)(pt 14 37)(line_width 1)) (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)(line_width 1)) ) ) (symbol (rect 320 768 384 816) (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) (text "inst26" (rect 3 37 32 49)(font "Arial" )) (port (pt 0 32) (input) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 15 32)(line_width 1)) ) (port (pt 0 16) (input) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 15 16)(line_width 1)) ) (port (pt 64 24) (output) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (line (pt 48 24)(pt 64 24)(line_width 1)) ) (drawing (line (pt 14 36)(pt 25 36)(line_width 1)) (line (pt 14 13)(pt 25 13)(line_width 1)) (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)(line_width 1)) (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)(line_width 1)) (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)(line_width 1)) ) ) (symbol (rect 136 920 200 968) (text "XOR" (rect 1 0 21 10)(font "Arial" (font_size 6))) (text "inst27" (rect 3 37 32 49)(font "Arial" )) (port (pt 0 16) (input) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 11 16)(line_width 1)) ) (port (pt 0 32) (input) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 11 32)(line_width 1)) ) (port (pt 64 24) (output) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (line (pt 49 24)(pt 64 24)(line_width 1)) ) (drawing (line (pt 14 13)(pt 25 13)(line_width 1)) (line (pt 14 36)(pt 25 36)(line_width 1)) (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)(line_width 1)) (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)(line_width 1)) (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)(line_width 1)) (arc (pt 8 36)(pt 8 12)(rect -21 7 14 42)(line_width 1)) ) ) (symbol (rect 320 928 384 976) (text "XOR" (rect 1 0 21 10)(font "Arial" (font_size 6))) (text "inst28" (rect 3 37 32 49)(font "Arial" )) (port (pt 0 16) (input) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 11 16)(line_width 1)) ) (port (pt 0 32) (input) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 11 32)(line_width 1)) ) (port (pt 64 24) (output) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (line (pt 49 24)(pt 64 24)(line_width 1)) ) (drawing (line (pt 14 13)(pt 25 13)(line_width 1)) (line (pt 14 36)(pt 25 36)(line_width 1)) (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)(line_width 1)) (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)(line_width 1)) (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)(line_width 1)) (arc (pt 8 36)(pt 8 12)(rect -21 7 14 42)(line_width 1)) ) ) (symbol (rect 224 1000 288 1048) (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst29" (rect 3 37 32 49)(font "Arial" )) (port (pt 0 16) (input) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 14 16)(line_width 1)) ) (port (pt 0 32) (input) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 14 32)(line_width 1)) ) (port (pt 64 24) (output) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (line (pt 42 24)(pt 64 24)(line_width 1)) ) (drawing (line (pt 14 12)(pt 30 12)(line_width 1)) (line (pt 14 37)(pt 31 37)(line_width 1)) (line (pt 14 12)(pt 14 37)(line_width 1)) (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)(line_width 1)) ) ) (symbol (rect 224 1056 288 1104) (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst30" (rect 3 37 32 49)(font "Arial" )) (port (pt 0 16) (input) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 14 16)(line_width 1)) ) (port (pt 0 32) (input) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 14 32)(line_width 1)) ) (port (pt 64 24) (output) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (line (pt 42 24)(pt 64 24)(line_width 1)) ) (drawing (line (pt 14 12)(pt 30 12)(line_width 1)) (line (pt 14 37)(pt 31 37)(line_width 1)) (line (pt 14 12)(pt 14 37)(line_width 1)) (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)(line_width 1)) ) ) (symbol (rect 320 984 384 1032) (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) (text "inst31" (rect 3 37 32 49)(font "Arial" )) (port (pt 0 32) (input) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 15 32)(line_width 1)) ) (port (pt 0 16) (input) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 15 16)(line_width 1)) ) (port (pt 64 24) (output) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (line (pt 48 24)(pt 64 24)(line_width 1)) ) (drawing (line (pt 14 36)(pt 25 36)(line_width 1)) (line (pt 14 13)(pt 25 13)(line_width 1)) (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)(line_width 1)) (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)(line_width 1)) (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)(line_width 1)) ) ) (symbol (rect 1408 280 1528 504) (text "74153" (rect 42 0 83 16)(font "Arial" (font_size 10))) (text "inst32" (rect 3 213 32 225)(font "Arial" )) (port (pt 0 88) (input) (text "1C1" (rect 21 79 43 93)(font "Arial" (font_size 8))) (text "1C1" (rect 21 79 43 93)(font "Arial" (font_size 8))) (line (pt 0 88)(pt 16 88)(line_width 1)) ) (port (pt 0 56) (input) (text "1GN" (rect 21 47 45 61)(font "Arial" (font_size 8))) (text "1GN" (rect 21 47 45 61)(font "Arial" (font_size 8))) (line (pt 0 56)(pt 8 56)(line_width 1)) ) (port (pt 0 72) (input) (text "1C0" (rect 21 63 43 77)(font "Arial" (font_size 8))) (text "1C0" (rect 21 63 43 77)(font "Arial" (font_size 8))) (line (pt 0 72)(pt 16 72)(line_width 1)) ) (port (pt 0 152) (input) (text "2C0" (rect 21 143 43 157)(font "Arial" (font_size 8))) (text "2C0" (rect 21 143 43 157)(font "Arial" (font_size 8))) (line (pt 0 152)(pt 16 152)(line_width 1)) ) (port (pt 0 136) (input) (text "2GN" (rect 21 127 45 141)(font "Arial" (font_size 8))) (text "2GN" (rect 21 127 45 141)(font "Arial" (font_size 8))) (line (pt 0 136)(pt 8 136)(line_width 1)) ) (port (pt 0 184) (input) (text "2C2" (rect 21 175 43 189)(font "Arial" (font_size 8))) (text "2C2" (rect 21 175 43 189)(font "Arial" (font_size 8))) (line (pt 0 184)(pt 16 184)(line_width 1)) ) (port (pt 0 104) (input) (text "1C2" (rect 21 95 43 109)(font "Arial" (font_size 8))) (text "1C2" (rect 21 95 43 109)(font "Arial" (font_size 8))) (line (pt 0 104)(pt 16 104)(line_width 1)) ) (port (pt 0 120) (input) (text "1C3" (rect 21 111 43 125)(font "Arial" (font_size 8))) (text "1C3" (rect 21 111 43 125)(font "Arial" (font_size 8))) (line (pt 0 120)(pt 16 120)(line_width 1)) ) (port (pt 0 40) (input) (text "B" (rect 21 33 29 47)(font "Arial" (font_size 8))) (text "B" (rect 21 33 29 47)(font "Arial" (font_size 8))) (line (pt 0 40)(pt 16 40)(line_width 1)) ) (port (pt 0 24) (input) (text "A" (rect 21 17 30 31)(font "Arial" (font_size 8))) (text "A" (rect 21 17 30 31)(font "Arial" (font_size 8))) (line (pt 0 24)(pt 16 24)(line_width 1)) ) (port (pt 0 168) (input) (text "2C1" (rect 21 159 43 173)(font "Arial" (font_size 8))) (text "2C1" (rect 21 159 43 173)(font "Arial" (font_size 8))) (line (pt 0 168)(pt 16 168)(line_width 1)) ) (port (pt 0 200) (input) (text "2C3" (rect 21 190 43 204)(font "Arial" (font_size 8))) (text "2C3" (rect 21 190 43 204)(font "Arial" (font_size 8))) (line (pt 0 200)(pt 16 200)(line_width 1)) ) (port (pt 120 104) (output) (text "1Y" (rect 86 97 102 111)(font "Arial" (font_size 8))) (text "1Y" (rect 86 97 102 111)(font "Arial" (font_size 8))) (line (pt 104 104)(pt 120 104)(line_width 1)) ) (port (pt 120 120) (output) (text "2Y" (rect 86 113 102 127)(font "Arial" (font_size 8))) (text "2Y" (rect 86 113 102 127)(font "Arial" (font_size 8))) (line (pt 104 120)(pt 120 120)(line_width 1)) ) (drawing (text "MULTIPLEXER" (rect 27 209 106 223)(font "Arial" (font_size 8))) (line (pt 16 16)(pt 104 16)(line_width 1)) (line (pt 16 208)(pt 104 208)(line_width 1)) (line (pt 16 208)(pt 16 16)(line_width 1)) (line (pt 104 208)(pt 104 16)(line_width 1)) (circle (rect 8 52 16 60)(line_width 1)) (circle (rect 8 132 16 140)(line_width 1)) ) ) (symbol (rect 1360 520 1480 744) (text "74153" (rect 42 0 83 16)(font "Arial" (font_size 10))) (text "inst33" (rect 3 213 32 225)(font "Arial" )) (port (pt 0 88) (input) (text "1C1" (rect 21 79 43 93)(font "Arial" (font_size 8))) (text "1C1" (rect 21 79 43 93)(font "Arial" (font_size 8))) (line (pt 0 88)(pt 16 88)(line_width 1)) ) (port (pt 0 56) (input) (text "1GN" (rect 21 47 45 61)(font "Arial" (font_size 8))) (text "1GN" (rect 21 47 45 61)(font "Arial" (font_size 8))) (line (pt 0 56)(pt 8 56)(line_width 1)) ) (port (pt 0 72) (input) (text "1C0" (rect 21 63 43 77)(font "Arial" (font_size 8))) (text "1C0" (rect 21 63 43 77)(font "Arial" (font_size 8))) (line (pt 0 72)(pt 16 72)(line_width 1)) ) (port (pt 0 152) (input) (text "2C0" (rect 21 143 43 157)(font "Arial" (font_size 8))) (text "2C0" (rect 21 143 43 157)(font "Arial" (font_size 8))) (line (pt 0 152)(pt 16 152)(line_width 1)) ) (port (pt 0 136) (input) (text "2GN" (rect 21 127 45 141)(font "Arial" (font_size 8))) (text "2GN" (rect 21 127 45 141)(font "Arial" (font_size 8))) (line (pt 0 136)(pt 8 136)(line_width 1)) ) (port (pt 0 184) (input) (text "2C2" (rect 21 175 43 189)(font "Arial" (font_size 8))) (text "2C2" (rect 21 175 43 189)(font "Arial" (font_size 8))) (line (pt 0 184)(pt 16 184)(line_width 1)) ) (port (pt 0 104) (input) (text "1C2" (rect 21 95 43 109)(font "Arial" (font_size 8))) (text "1C2" (rect 21 95 43 109)(font "Arial" (font_size 8))) (line (pt 0 104)(pt 16 104)(line_width 1)) ) (port (pt 0 120) (input) (text "1C3" (rect 21 111 43 125)(font "Arial" (font_size 8))) (text "1C3" (rect 21 111 43 125)(font "Arial" (font_size 8))) (line (pt 0 120)(pt 16 120)(line_width 1)) ) (port (pt 0 40) (input) (text "B" (rect 21 33 29 47)(font "Arial" (font_size 8))) (text "B" (rect 21 33 29 47)(font "Arial" (font_size 8))) (line (pt 0 40)(pt 16 40)(line_width 1)) ) (port (pt 0 24) (input) (text "A" (rect 21 17 30 31)(font "Arial" (font_size 8))) (text "A" (rect 21 17 30 31)(font "Arial" (font_size 8))) (line (pt 0 24)(pt 16 24)(line_width 1)) ) (port (pt 0 168) (input) (text "2C1" (rect 21 159 43 173)(font "Arial" (font_size 8))) (text "2C1" (rect 21 159 43 173)(font "Arial" (font_size 8))) (line (pt 0 168)(pt 16 168)(line_width 1)) ) (port (pt 0 200) (input) (text "2C3" (rect 21 190 43 204)(font "Arial" (font_size 8))) (text "2C3" (rect 21 190 43 204)(font "Arial" (font_size 8))) (line (pt 0 200)(pt 16 200)(line_width 1)) ) (port (pt 120 104) (output) (text "1Y" (rect 86 97 102 111)(font "Arial" (font_size 8))) (text "1Y" (rect 86 97 102 111)(font "Arial" (font_size 8))) (line (pt 104 104)(pt 120 104)(line_width 1)) ) (port (pt 120 120) (output) (text "2Y" (rect 86 113 102 127)(font "Arial" (font_size 8))) (text "2Y" (rect 86 113 102 127)(font "Arial" (font_size 8))) (line (pt 104 120)(pt 120 120)(line_width 1)) ) (drawing (text "MULTIPLEXER" (rect 27 209 106 223)(font "Arial" (font_size 8))) (line (pt 16 16)(pt 104 16)(line_width 1)) (line (pt 16 208)(pt 104 208)(line_width 1)) (line (pt 16 208)(pt 16 16)(line_width 1)) (line (pt 104 208)(pt 104 16)(line_width 1)) (circle (rect 8 52 16 60)(line_width 1)) (circle (rect 8 132 16 140)(line_width 1)) ) ) (symbol (rect 1400 32 1520 256) (text "74153" (rect 42 0 83 16)(font "Arial" (font_size 10))) (text "inst35" (rect 3 213 32 225)(font "Arial" )) (port (pt 0 88) (input) (text "1C1" (rect 21 79 43 93)(font "Arial" (font_size 8))) (text "1C1" (rect 21 79 43 93)(font "Arial" (font_size 8))) (line (pt 0 88)(pt 16 88)(line_width 1)) ) (port (pt 0 56) (input) (text "1GN" (rect 21 47 45 61)(font "Arial" (font_size 8))) (text "1GN" (rect 21 47 45 61)(font "Arial" (font_size 8))) (line (pt 0 56)(pt 8 56)(line_width 1)) ) (port (pt 0 72) (input) (text "1C0" (rect 21 63 43 77)(font "Arial" (font_size 8))) (text "1C0" (rect 21 63 43 77)(font "Arial" (font_size 8))) (line (pt 0 72)(pt 16 72)(line_width 1)) ) (port (pt 0 152) (input) (text "2C0" (rect 21 143 43 157)(font "Arial" (font_size 8))) (text "2C0" (rect 21 143 43 157)(font "Arial" (font_size 8))) (line (pt 0 152)(pt 16 152)(line_width 1)) ) (port (pt 0 136) (input) (text "2GN" (rect 21 127 45 141)(font "Arial" (font_size 8))) (text "2GN" (rect 21 127 45 141)(font "Arial" (font_size 8))) (line (pt 0 136)(pt 8 136)(line_width 1)) ) (port (pt 0 184) (input) (text "2C2" (rect 21 175 43 189)(font "Arial" (font_size 8))) (text "2C2" (rect 21 175 43 189)(font "Arial" (font_size 8))) (line (pt 0 184)(pt 16 184)(line_width 1)) ) (port (pt 0 104) (input) (text "1C2" (rect 21 95 43 109)(font "Arial" (font_size 8))) (text "1C2" (rect 21 95 43 109)(font "Arial" (font_size 8))) (line (pt 0 104)(pt 16 104)(line_width 1)) ) (port (pt 0 120) (input) (text "1C3" (rect 21 111 43 125)(font "Arial" (font_size 8))) (text "1C3" (rect 21 111 43 125)(font "Arial" (font_size 8))) (line (pt 0 120)(pt 16 120)(line_width 1)) ) (port (pt 0 40) (input) (text "B" (rect 21 33 29 47)(font "Arial" (font_size 8))) (text "B" (rect 21 33 29 47)(font "Arial" (font_size 8))) (line (pt 0 40)(pt 16 40)(line_width 1)) ) (port (pt 0 24) (input) (text "A" (rect 21 17 30 31)(font "Arial" (font_size 8))) (text "A" (rect 21 17 30 31)(font "Arial" (font_size 8))) (line (pt 0 24)(pt 16 24)(line_width 1)) ) (port (pt 0 168) (input) (text "2C1" (rect 21 159 43 173)(font "Arial" (font_size 8))) (text "2C1" (rect 21 159 43 173)(font "Arial" (font_size 8))) (line (pt 0 168)(pt 16 168)(line_width 1)) ) (port (pt 0 200) (input) (text "2C3" (rect 21 190 43 204)(font "Arial" (font_size 8))) (text "2C3" (rect 21 190 43 204)(font "Arial" (font_size 8))) (line (pt 0 200)(pt 16 200)(line_width 1)) ) (port (pt 120 104) (output) (text "1Y" (rect 86 97 102 111)(font "Arial" (font_size 8))) (text "1Y" (rect 86 97 102 111)(font "Arial" (font_size 8))) (line (pt 104 104)(pt 120 104)(line_width 1)) ) (port (pt 120 120) (output) (text "2Y" (rect 86 113 102 127)(font "Arial" (font_size 8))) (text "2Y" (rect 86 113 102 127)(font "Arial" (font_size 8))) (line (pt 104 120)(pt 120 120)(line_width 1)) ) (drawing (text "MULTIPLEXER" (rect 27 209 106 223)(font "Arial" (font_size 8))) (line (pt 16 16)(pt 104 16)(line_width 1)) (line (pt 16 208)(pt 104 208)(line_width 1)) (line (pt 16 208)(pt 16 16)(line_width 1)) (line (pt 104 208)(pt 104 16)(line_width 1)) (circle (rect 8 52 16 60)(line_width 1)) (circle (rect 8 132 16 140)(line_width 1)) ) ) (symbol (rect 944 -216 1064 8) (text "74153" (rect 42 0 83 16)(font "Arial" (font_size 10))) (text "inst36" (rect 3 213 32 225)(font "Arial" )) (port (pt 0 88) (input) (text "1C1" (rect 21 79 43 93)(font "Arial" (font_size 8))) (text "1C1" (rect 21 79 43 93)(font "Arial" (font_size 8))) (line (pt 0 88)(pt 16 88)(line_width 1)) ) (port (pt 0 56) (input) (text "1GN" (rect 21 47 45 61)(font "Arial" (font_size 8))) (text "1GN" (rect 21 47 45 61)(font "Arial" (font_size 8))) (line (pt 0 56)(pt 8 56)(line_width 1)) ) (port (pt 0 72) (input) (text "1C0" (rect 21 63 43 77)(font "Arial" (font_size 8))) (text "1C0" (rect 21 63 43 77)(font "Arial" (font_size 8))) (line (pt 0 72)(pt 16 72)(line_width 1)) ) (port (pt 0 152) (input) (text "2C0" (rect 21 143 43 157)(font "Arial" (font_size 8))) (text "2C0" (rect 21 143 43 157)(font "Arial" (font_size 8))) (line (pt 0 152)(pt 16 152)(line_width 1)) ) (port (pt 0 136) (input) (text "2GN" (rect 21 127 45 141)(font "Arial" (font_size 8))) (text "2GN" (rect 21 127 45 141)(font "Arial" (font_size 8))) (line (pt 0 136)(pt 8 136)(line_width 1)) ) (port (pt 0 184) (input) (text "2C2" (rect 21 175 43 189)(font "Arial" (font_size 8))) (text "2C2" (rect 21 175 43 189)(font "Arial" (font_size 8))) (line (pt 0 184)(pt 16 184)(line_width 1)) ) (port (pt 0 104) (input) (text "1C2" (rect 21 95 43 109)(font "Arial" (font_size 8))) (text "1C2" (rect 21 95 43 109)(font "Arial" (font_size 8))) (line (pt 0 104)(pt 16 104)(line_width 1)) ) (port (pt 0 120) (input) (text "1C3" (rect 21 111 43 125)(font "Arial" (font_size 8))) (text "1C3" (rect 21 111 43 125)(font "Arial" (font_size 8))) (line (pt 0 120)(pt 16 120)(line_width 1)) ) (port (pt 0 40) (input) (text "B" (rect 21 33 29 47)(font "Arial" (font_size 8))) (text "B" (rect 21 33 29 47)(font "Arial" (font_size 8))) (line (pt 0 40)(pt 16 40)(line_width 1)) ) (port (pt 0 24) (input) (text "A" (rect 21 17 30 31)(font "Arial" (font_size 8))) (text "A" (rect 21 17 30 31)(font "Arial" (font_size 8))) (line (pt 0 24)(pt 16 24)(line_width 1)) ) (port (pt 0 168) (input) (text "2C1" (rect 21 159 43 173)(font "Arial" (font_size 8))) (text "2C1" (rect 21 159 43 173)(font "Arial" (font_size 8))) (line (pt 0 168)(pt 16 168)(line_width 1)) ) (port (pt 0 200) (input) (text "2C3" (rect 21 190 43 204)(font "Arial" (font_size 8))) (text "2C3" (rect 21 190 43 204)(font "Arial" (font_size 8))) (line (pt 0 200)(pt 16 200)(line_width 1)) ) (port (pt 120 104) (output) (text "1Y" (rect 86 97 102 111)(font "Arial" (font_size 8))) (text "1Y" (rect 86 97 102 111)(font "Arial" (font_size 8))) (line (pt 104 104)(pt 120 104)(line_width 1)) ) (port (pt 120 120) (output) (text "2Y" (rect 86 113 102 127)(font "Arial" (font_size 8))) (text "2Y" (rect 86 113 102 127)(font "Arial" (font_size 8))) (line (pt 104 120)(pt 120 120)(line_width 1)) ) (drawing (text "MULTIPLEXER" (rect 27 209 106 223)(font "Arial" (font_size 8))) (line (pt 16 16)(pt 104 16)(line_width 1)) (line (pt 16 208)(pt 104 208)(line_width 1)) (line (pt 16 208)(pt 16 16)(line_width 1)) (line (pt 104 208)(pt 104 16)(line_width 1)) (circle (rect 8 52 16 60)(line_width 1)) (circle (rect 8 132 16 140)(line_width 1)) ) ) (symbol (rect 1360 776 1480 1000) (text "74153" (rect 42 0 83 16)(font "Arial" (font_size 10))) (text "inst38" (rect 3 213 32 225)(font "Arial" )) (port (pt 0 88) (input) (text "1C1" (rect 21 79 43 93)(font "Arial" (font_size 8))) (text "1C1" (rect 21 79 43 93)(font "Arial" (font_size 8))) (line (pt 0 88)(pt 16 88)(line_width 1)) ) (port (pt 0 56) (input) (text "1GN" (rect 21 47 45 61)(font "Arial" (font_size 8))) (text "1GN" (rect 21 47 45 61)(font "Arial" (font_size 8))) (line (pt 0 56)(pt 8 56)(line_width 1)) ) (port (pt 0 72) (input) (text "1C0" (rect 21 63 43 77)(font "Arial" (font_size 8))) (text "1C0" (rect 21 63 43 77)(font "Arial" (font_size 8))) (line (pt 0 72)(pt 16 72)(line_width 1)) ) (port (pt 0 152) (input) (text "2C0" (rect 21 143 43 157)(font "Arial" (font_size 8))) (text "2C0" (rect 21 143 43 157)(font "Arial" (font_size 8))) (line (pt 0 152)(pt 16 152)(line_width 1)) ) (port (pt 0 136) (input) (text "2GN" (rect 21 127 45 141)(font "Arial" (font_size 8))) (text "2GN" (rect 21 127 45 141)(font "Arial" (font_size 8))) (line (pt 0 136)(pt 8 136)(line_width 1)) ) (port (pt 0 184) (input) (text "2C2" (rect 21 175 43 189)(font "Arial" (font_size 8))) (text "2C2" (rect 21 175 43 189)(font "Arial" (font_size 8))) (line (pt 0 184)(pt 16 184)(line_width 1)) ) (port (pt 0 104) (input) (text "1C2" (rect 21 95 43 109)(font "Arial" (font_size 8))) (text "1C2" (rect 21 95 43 109)(font "Arial" (font_size 8))) (line (pt 0 104)(pt 16 104)(line_width 1)) ) (port (pt 0 120) (input) (text "1C3" (rect 21 111 43 125)(font "Arial" (font_size 8))) (text "1C3" (rect 21 111 43 125)(font "Arial" (font_size 8))) (line (pt 0 120)(pt 16 120)(line_width 1)) ) (port (pt 0 40) (input) (text "B" (rect 21 33 29 47)(font "Arial" (font_size 8))) (text "B" (rect 21 33 29 47)(font "Arial" (font_size 8))) (line (pt 0 40)(pt 16 40)(line_width 1)) ) (port (pt 0 24) (input) (text "A" (rect 21 17 30 31)(font "Arial" (font_size 8))) (text "A" (rect 21 17 30 31)(font "Arial" (font_size 8))) (line (pt 0 24)(pt 16 24)(line_width 1)) ) (port (pt 0 168) (input) (text "2C1" (rect 21 159 43 173)(font "Arial" (font_size 8))) (text "2C1" (rect 21 159 43 173)(font "Arial" (font_size 8))) (line (pt 0 168)(pt 16 168)(line_width 1)) ) (port (pt 0 200) (input) (text "2C3" (rect 21 190 43 204)(font "Arial" (font_size 8))) (text "2C3" (rect 21 190 43 204)(font "Arial" (font_size 8))) (line (pt 0 200)(pt 16 200)(line_width 1)) ) (port (pt 120 104) (output) (text "1Y" (rect 86 97 102 111)(font "Arial" (font_size 8))) (text "1Y" (rect 86 97 102 111)(font "Arial" (font_size 8))) (line (pt 104 104)(pt 120 104)(line_width 1)) ) (port (pt 120 120) (output) (text "2Y" (rect 86 113 102 127)(font "Arial" (font_size 8))) (text "2Y" (rect 86 113 102 127)(font "Arial" (font_size 8))) (line (pt 104 120)(pt 120 120)(line_width 1)) ) (drawing (text "MULTIPLEXER" (rect 27 209 106 223)(font "Arial" (font_size 8))) (line (pt 16 16)(pt 104 16)(line_width 1)) (line (pt 16 208)(pt 104 208)(line_width 1)) (line (pt 16 208)(pt 16 16)(line_width 1)) (line (pt 104 208)(pt 104 16)(line_width 1)) (circle (rect 8 52 16 60)(line_width 1)) (circle (rect 8 132 16 140)(line_width 1)) ) ) (symbol (rect 864 -248 896 -216) (text "GND" (rect 8 16 29 26)(font "Arial" (font_size 6))) (text "inst34" (rect 3 21 32 33)(font "Arial" )(invisible)) (port (pt 16 0) (output) (text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible)) (text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible)) (line (pt 16 8)(pt 16 0)(line_width 1)) ) (drawing (line (pt 8 8)(pt 16 16)(line_width 1)) (line (pt 16 16)(pt 24 8)(line_width 1)) (line (pt 8 8)(pt 24 8)(line_width 1)) ) ) (symbol (rect 872 -168 904 -152) (text "VCC" (rect 7 0 27 10)(font "Arial" (font_size 6))) (text "inst39" (rect 3 5 32 17)(font "Arial" )(invisible)) (port (pt 16 16) (output) (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible)) (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible)) (line (pt 16 16)(pt 16 8)(line_width 1)) ) (drawing (line (pt 8 8)(pt 24 8)(line_width 1)) ) ) (symbol (rect 1080 -128 1128 -96) (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) (text "inst40" (rect 3 21 32 33)(font "Arial" )) (port (pt 0 16) (input) (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 13 16)(line_width 1)) ) (port (pt 48 16) (output) (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) (line (pt 39 16)(pt 48 16)(line_width 1)) ) (drawing (line (pt 13 25)(pt 13 7)(line_width 1)) (line (pt 13 7)(pt 31 16)(line_width 1)) (line (pt 13 25)(pt 31 16)(line_width 1)) (circle (rect 31 12 39 20)(line_width 1)) ) ) (symbol (rect 1688 608 1752 656) (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) (text "inst41" (rect 3 37 32 49)(font "Arial" )) (port (pt 0 32) (input) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 15 32)(line_width 1)) ) (port (pt 0 16) (input) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 15 16)(line_width 1)) ) (port (pt 64 24) (output) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (line (pt 48 24)(pt 64 24)(line_width 1)) ) (drawing (line (pt 14 36)(pt 25 36)(line_width 1)) (line (pt 14 13)(pt 25 13)(line_width 1)) (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)(line_width 1)) (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)(line_width 1)) (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)(line_width 1)) ) ) (symbol (rect 1688 664 1752 712) (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) (text "inst42" (rect 3 37 32 49)(font "Arial" )) (port (pt 0 32) (input) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 15 32)(line_width 1)) ) (port (pt 0 16) (input) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 15 16)(line_width 1)) ) (port (pt 64 24) (output) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (line (pt 48 24)(pt 64 24)(line_width 1)) ) (drawing (line (pt 14 36)(pt 25 36)(line_width 1)) (line (pt 14 13)(pt 25 13)(line_width 1)) (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)(line_width 1)) (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)(line_width 1)) (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)(line_width 1)) ) ) (symbol (rect 1688 552 1752 600) (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) (text "inst43" (rect 3 37 32 49)(font "Arial" )) (port (pt 0 32) (input) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 15 32)(line_width 1)) ) (port (pt 0 16) (input) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 15 16)(line_width 1)) ) (port (pt 64 24) (output) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (line (pt 48 24)(pt 64 24)(line_width 1)) ) (drawing (line (pt 14 36)(pt 25 36)(line_width 1)) (line (pt 14 13)(pt 25 13)(line_width 1)) (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)(line_width 1)) (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)(line_width 1)) (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)(line_width 1)) ) ) (symbol (rect 1688 496 1752 544) (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) (text "inst44" (rect 3 37 32 49)(font "Arial" )) (port (pt 0 32) (input) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 15 32)(line_width 1)) ) (port (pt 0 16) (input) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 15 16)(line_width 1)) ) (port (pt 64 24) (output) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (line (pt 48 24)(pt 64 24)(line_width 1)) ) (drawing (line (pt 14 36)(pt 25 36)(line_width 1)) (line (pt 14 13)(pt 25 13)(line_width 1)) (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)(line_width 1)) (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)(line_width 1)) (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)(line_width 1)) ) ) (symbol (rect -520 -632 -400 -408) (text "74153" (rect 42 0 83 16)(font "Arial" (font_size 10))) (text "inst37" (rect 3 213 32 225)(font "Arial" )) (port (pt 0 88) (input) (text "1C1" (rect 21 79 43 93)(font "Arial" (font_size 8))) (text "1C1" (rect 21 79 43 93)(font "Arial" (font_size 8))) (line (pt 0 88)(pt 16 88)(line_width 1)) ) (port (pt 0 56) (input) (text "1GN" (rect 21 47 45 61)(font "Arial" (font_size 8))) (text "1GN" (rect 21 47 45 61)(font "Arial" (font_size 8))) (line (pt 0 56)(pt 8 56)(line_width 1)) ) (port (pt 0 72) (input) (text "1C0" (rect 21 63 43 77)(font "Arial" (font_size 8))) (text "1C0" (rect 21 63 43 77)(font "Arial" (font_size 8))) (line (pt 0 72)(pt 16 72)(line_width 1)) ) (port (pt 0 152) (input) (text "2C0" (rect 21 143 43 157)(font "Arial" (font_size 8))) (text "2C0" (rect 21 143 43 157)(font "Arial" (font_size 8))) (line (pt 0 152)(pt 16 152)(line_width 1)) ) (port (pt 0 136) (input) (text "2GN" (rect 21 127 45 141)(font "Arial" (font_size 8))) (text "2GN" (rect 21 127 45 141)(font "Arial" (font_size 8))) (line (pt 0 136)(pt 8 136)(line_width 1)) ) (port (pt 0 184) (input) (text "2C2" (rect 21 175 43 189)(font "Arial" (font_size 8))) (text "2C2" (rect 21 175 43 189)(font "Arial" (font_size 8))) (line (pt 0 184)(pt 16 184)(line_width 1)) ) (port (pt 0 104) (input) (text "1C2" (rect 21 95 43 109)(font "Arial" (font_size 8))) (text "1C2" (rect 21 95 43 109)(font "Arial" (font_size 8))) (line (pt 0 104)(pt 16 104)(line_width 1)) ) (port (pt 0 120) (input) (text "1C3" (rect 21 111 43 125)(font "Arial" (font_size 8))) (text "1C3" (rect 21 111 43 125)(font "Arial" (font_size 8))) (line (pt 0 120)(pt 16 120)(line_width 1)) ) (port (pt 0 40) (input) (text "B" (rect 21 33 29 47)(font "Arial" (font_size 8))) (text "B" (rect 21 33 29 47)(font "Arial" (font_size 8))) (line (pt 0 40)(pt 16 40)(line_width 1)) ) (port (pt 0 24) (input) (text "A" (rect 21 17 30 31)(font "Arial" (font_size 8))) (text "A" (rect 21 17 30 31)(font "Arial" (font_size 8))) (line (pt 0 24)(pt 16 24)(line_width 1)) ) (port (pt 0 168) (input) (text "2C1" (rect 21 159 43 173)(font "Arial" (font_size 8))) (text "2C1" (rect 21 159 43 173)(font "Arial" (font_size 8))) (line (pt 0 168)(pt 16 168)(line_width 1)) ) (port (pt 0 200) (input) (text "2C3" (rect 21 190 43 204)(font "Arial" (font_size 8))) (text "2C3" (rect 21 190 43 204)(font "Arial" (font_size 8))) (line (pt 0 200)(pt 16 200)(line_width 1)) ) (port (pt 120 104) (output) (text "1Y" (rect 86 97 102 111)(font "Arial" (font_size 8))) (text "1Y" (rect 86 97 102 111)(font "Arial" (font_size 8))) (line (pt 104 104)(pt 120 104)(line_width 1)) ) (port (pt 120 120) (output) (text "2Y" (rect 86 113 102 127)(font "Arial" (font_size 8))) (text "2Y" (rect 86 113 102 127)(font "Arial" (font_size 8))) (line (pt 104 120)(pt 120 120)(line_width 1)) ) (drawing (text "MULTIPLEXER" (rect 27 209 106 223)(font "Arial" (font_size 8))) (line (pt 16 16)(pt 104 16)(line_width 1)) (line (pt 16 208)(pt 104 208)(line_width 1)) (line (pt 16 208)(pt 16 16)(line_width 1)) (line (pt 104 208)(pt 104 16)(line_width 1)) (circle (rect 8 52 16 60)(line_width 1)) (circle (rect 8 132 16 140)(line_width 1)) ) ) (symbol (rect -520 -400 -400 -176) (text "74153" (rect 42 0 83 16)(font "Arial" (font_size 10))) (text "inst45" (rect 3 213 32 225)(font "Arial" )) (port (pt 0 88) (input) (text "1C1" (rect 21 79 43 93)(font "Arial" (font_size 8))) (text "1C1" (rect 21 79 43 93)(font "Arial" (font_size 8))) (line (pt 0 88)(pt 16 88)(line_width 1)) ) (port (pt 0 56) (input) (text "1GN" (rect 21 47 45 61)(font "Arial" (font_size 8))) (text "1GN" (rect 21 47 45 61)(font "Arial" (font_size 8))) (line (pt 0 56)(pt 8 56)(line_width 1)) ) (port (pt 0 72) (input) (text "1C0" (rect 21 63 43 77)(font "Arial" (font_size 8))) (text "1C0" (rect 21 63 43 77)(font "Arial" (font_size 8))) (line (pt 0 72)(pt 16 72)(line_width 1)) ) (port (pt 0 152) (input) (text "2C0" (rect 21 143 43 157)(font "Arial" (font_size 8))) (text "2C0" (rect 21 143 43 157)(font "Arial" (font_size 8))) (line (pt 0 152)(pt 16 152)(line_width 1)) ) (port (pt 0 136) (input) (text "2GN" (rect 21 127 45 141)(font "Arial" (font_size 8))) (text "2GN" (rect 21 127 45 141)(font "Arial" (font_size 8))) (line (pt 0 136)(pt 8 136)(line_width 1)) ) (port (pt 0 184) (input) (text "2C2" (rect 21 175 43 189)(font "Arial" (font_size 8))) (text "2C2" (rect 21 175 43 189)(font "Arial" (font_size 8))) (line (pt 0 184)(pt 16 184)(line_width 1)) ) (port (pt 0 104) (input) (text "1C2" (rect 21 95 43 109)(font "Arial" (font_size 8))) (text "1C2" (rect 21 95 43 109)(font "Arial" (font_size 8))) (line (pt 0 104)(pt 16 104)(line_width 1)) ) (port (pt 0 120) (input) (text "1C3" (rect 21 111 43 125)(font "Arial" (font_size 8))) (text "1C3" (rect 21 111 43 125)(font "Arial" (font_size 8))) (line (pt 0 120)(pt 16 120)(line_width 1)) ) (port (pt 0 40) (input) (text "B" (rect 21 33 29 47)(font "Arial" (font_size 8))) (text "B" (rect 21 33 29 47)(font "Arial" (font_size 8))) (line (pt 0 40)(pt 16 40)(line_width 1)) ) (port (pt 0 24) (input) (text "A" (rect 21 17 30 31)(font "Arial" (font_size 8))) (text "A" (rect 21 17 30 31)(font "Arial" (font_size 8))) (line (pt 0 24)(pt 16 24)(line_width 1)) ) (port (pt 0 168) (input) (text "2C1" (rect 21 159 43 173)(font "Arial" (font_size 8))) (text "2C1" (rect 21 159 43 173)(font "Arial" (font_size 8))) (line (pt 0 168)(pt 16 168)(line_width 1)) ) (port (pt 0 200) (input) (text "2C3" (rect 21 190 43 204)(font "Arial" (font_size 8))) (text "2C3" (rect 21 190 43 204)(font "Arial" (font_size 8))) (line (pt 0 200)(pt 16 200)(line_width 1)) ) (port (pt 120 104) (output) (text "1Y" (rect 86 97 102 111)(font "Arial" (font_size 8))) (text "1Y" (rect 86 97 102 111)(font "Arial" (font_size 8))) (line (pt 104 104)(pt 120 104)(line_width 1)) ) (port (pt 120 120) (output) (text "2Y" (rect 86 113 102 127)(font "Arial" (font_size 8))) (text "2Y" (rect 86 113 102 127)(font "Arial" (font_size 8))) (line (pt 104 120)(pt 120 120)(line_width 1)) ) (drawing (text "MULTIPLEXER" (rect 27 209 106 223)(font "Arial" (font_size 8))) (line (pt 16 16)(pt 104 16)(line_width 1)) (line (pt 16 208)(pt 104 208)(line_width 1)) (line (pt 16 208)(pt 16 16)(line_width 1)) (line (pt 104 208)(pt 104 16)(line_width 1)) (circle (rect 8 52 16 60)(line_width 1)) (circle (rect 8 132 16 140)(line_width 1)) ) ) (symbol (rect -280 -568 -160 -408) (text "7474" (rect 46 1 79 17)(font "Arial" (font_size 10))) (text "inst46" (rect 3 149 32 161)(font "Arial" )) (port (pt 0 104) (input) (text "2D" (rect 19 99 34 113)(font "Arial" (font_size 8))) (text "2D" (rect 19 99 34 113)(font "Arial" (font_size 8))) (line (pt 0 104)(pt 16 104)(line_width 1)) ) (port (pt 0 88) (input) (text "2PRN" (rect 19 83 49 97)(font "Arial" (font_size 8))) (text "2PRN" (rect 19 83 49 97)(font "Arial" (font_size 8))) (line (pt 0 88)(pt 8 88)(line_width 1)) ) (port (pt 0 72) (input) (text "1CLK" (rect 19 67 49 81)(font "Arial" (font_size 8))) (text "1CLK" (rect 19 67 49 81)(font "Arial" (font_size 8))) (line (pt 0 72)(pt 16 72)(line_width 1)) ) (port (pt 0 40) (input) (text "1D" (rect 19 35 34 49)(font "Arial" (font_size 8))) (text "1D" (rect 19 35 34 49)(font "Arial" (font_size 8))) (line (pt 0 40)(pt 16 40)(line_width 1)) ) (port (pt 0 24) (input) (text "1PRN" (rect 19 19 49 33)(font "Arial" (font_size 8))) (text "1PRN" (rect 19 19 49 33)(font "Arial" (font_size 8))) (line (pt 0 24)(pt 8 24)(line_width 1)) ) (port (pt 0 136) (input) (text "2CLK" (rect 19 131 49 145)(font "Arial" (font_size 8))) (text "2CLK" (rect 19 131 49 145)(font "Arial" (font_size 8))) (line (pt 0 136)(pt 16 136)(line_width 1)) ) (port (pt 0 120) (input) (text "2CLRN" (rect 19 115 57 129)(font "Arial" (font_size 8))) (text "2CLRN" (rect 19 115 57 129)(font "Arial" (font_size 8))) (line (pt 0 120)(pt 8 120)(line_width 1)) ) (port (pt 0 56) (input) (text "1CLRN" (rect 19 51 57 65)(font "Arial" (font_size 8))) (text "1CLRN" (rect 19 51 57 65)(font "Arial" (font_size 8))) (line (pt 0 56)(pt 8 56)(line_width 1)) ) (port (pt 120 72) (output) (text "1QN" (rect 79 66 103 80)(font "Arial" (font_size 8))) (text "1QN" (rect 79 66 103 80)(font "Arial" (font_size 8))) (line (pt 112 72)(pt 120 72)(line_width 1)) ) (port (pt 120 88) (output) (text "2Q" (rect 87 82 103 96)(font "Arial" (font_size 8))) (text "2Q" (rect 87 82 103 96)(font "Arial" (font_size 8))) (line (pt 104 88)(pt 120 88)(line_width 1)) ) (port (pt 120 104) (output) (text "2QN" (rect 79 98 103 112)(font "Arial" (font_size 8))) (text "2QN" (rect 79 98 103 112)(font "Arial" (font_size 8))) (line (pt 112 104)(pt 120 104)(line_width 1)) ) (port (pt 120 56) (output) (text "1Q" (rect 87 50 103 64)(font "Arial" (font_size 8))) (text "1Q" (rect 87 50 103 64)(font "Arial" (font_size 8))) (line (pt 104 56)(pt 120 56)(line_width 1)) ) (drawing (text "D FLIP-FLOPS" (rect 29 147 108 161)(font "Arial" (font_size 8))) (line (pt 16 144)(pt 16 16)(line_width 1)) (line (pt 104 144)(pt 104 16)(line_width 1)) (line (pt 16 16)(pt 104 16)(line_width 1)) (line (pt 16 144)(pt 104 144)(line_width 1)) (circle (rect 8 52 16 60)(line_width 1)) (circle (rect 8 116 16 124)(line_width 1)) (circle (rect 8 20 16 28)(line_width 1)) (circle (rect 8 84 16 92)(line_width 1)) (circle (rect 104 68 112 76)(line_width 1)) (circle (rect 104 100 112 108)(line_width 1)) ) ) (symbol (rect -280 -384 -160 -224) (text "7474" (rect 46 1 79 17)(font "Arial" (font_size 10))) (text "inst47" (rect 3 149 32 161)(font "Arial" )) (port (pt 0 104) (input) (text "2D" (rect 19 99 34 113)(font "Arial" (font_size 8))) (text "2D" (rect 19 99 34 113)(font "Arial" (font_size 8))) (line (pt 0 104)(pt 16 104)(line_width 1)) ) (port (pt 0 88) (input) (text "2PRN" (rect 19 83 49 97)(font "Arial" (font_size 8))) (text "2PRN" (rect 19 83 49 97)(font "Arial" (font_size 8))) (line (pt 0 88)(pt 8 88)(line_width 1)) ) (port (pt 0 72) (input) (text "1CLK" (rect 19 67 49 81)(font "Arial" (font_size 8))) (text "1CLK" (rect 19 67 49 81)(font "Arial" (font_size 8))) (line (pt 0 72)(pt 16 72)(line_width 1)) ) (port (pt 0 40) (input) (text "1D" (rect 19 35 34 49)(font "Arial" (font_size 8))) (text "1D" (rect 19 35 34 49)(font "Arial" (font_size 8))) (line (pt 0 40)(pt 16 40)(line_width 1)) ) (port (pt 0 24) (input) (text "1PRN" (rect 19 19 49 33)(font "Arial" (font_size 8))) (text "1PRN" (rect 19 19 49 33)(font "Arial" (font_size 8))) (line (pt 0 24)(pt 8 24)(line_width 1)) ) (port (pt 0 136) (input) (text "2CLK" (rect 19 131 49 145)(font "Arial" (font_size 8))) (text "2CLK" (rect 19 131 49 145)(font "Arial" (font_size 8))) (line (pt 0 136)(pt 16 136)(line_width 1)) ) (port (pt 0 120) (input) (text "2CLRN" (rect 19 115 57 129)(font "Arial" (font_size 8))) (text "2CLRN" (rect 19 115 57 129)(font "Arial" (font_size 8))) (line (pt 0 120)(pt 8 120)(line_width 1)) ) (port (pt 0 56) (input) (text "1CLRN" (rect 19 51 57 65)(font "Arial" (font_size 8))) (text "1CLRN" (rect 19 51 57 65)(font "Arial" (font_size 8))) (line (pt 0 56)(pt 8 56)(line_width 1)) ) (port (pt 120 72) (output) (text "1QN" (rect 79 66 103 80)(font "Arial" (font_size 8))) (text "1QN" (rect 79 66 103 80)(font "Arial" (font_size 8))) (line (pt 112 72)(pt 120 72)(line_width 1)) ) (port (pt 120 88) (output) (text "2Q" (rect 87 82 103 96)(font "Arial" (font_size 8))) (text "2Q" (rect 87 82 103 96)(font "Arial" (font_size 8))) (line (pt 104 88)(pt 120 88)(line_width 1)) ) (port (pt 120 104) (output) (text "2QN" (rect 79 98 103 112)(font "Arial" (font_size 8))) (text "2QN" (rect 79 98 103 112)(font "Arial" (font_size 8))) (line (pt 112 104)(pt 120 104)(line_width 1)) ) (port (pt 120 56) (output) (text "1Q" (rect 87 50 103 64)(font "Arial" (font_size 8))) (text "1Q" (rect 87 50 103 64)(font "Arial" (font_size 8))) (line (pt 104 56)(pt 120 56)(line_width 1)) ) (drawing (text "D FLIP-FLOPS" (rect 29 147 108 161)(font "Arial" (font_size 8))) (line (pt 16 144)(pt 16 16)(line_width 1)) (line (pt 104 144)(pt 104 16)(line_width 1)) (line (pt 16 16)(pt 104 16)(line_width 1)) (line (pt 16 144)(pt 104 144)(line_width 1)) (circle (rect 8 52 16 60)(line_width 1)) (circle (rect 8 116 16 124)(line_width 1)) (circle (rect 8 20 16 28)(line_width 1)) (circle (rect 8 84 16 92)(line_width 1)) (circle (rect 104 68 112 76)(line_width 1)) (circle (rect 104 100 112 108)(line_width 1)) ) ) (symbol (rect -280 8 -160 168) (text "7474" (rect 46 1 79 17)(font "Arial" (font_size 10))) (text "inst48" (rect 3 149 32 161)(font "Arial" )) (port (pt 0 104) (input) (text "2D" (rect 19 99 34 113)(font "Arial" (font_size 8))) (text "2D" (rect 19 99 34 113)(font "Arial" (font_size 8))) (line (pt 0 104)(pt 16 104)(line_width 1)) ) (port (pt 0 88) (input) (text "2PRN" (rect 19 83 49 97)(font "Arial" (font_size 8))) (text "2PRN" (rect 19 83 49 97)(font "Arial" (font_size 8))) (line (pt 0 88)(pt 8 88)(line_width 1)) ) (port (pt 0 72) (input) (text "1CLK" (rect 19 67 49 81)(font "Arial" (font_size 8))) (text "1CLK" (rect 19 67 49 81)(font "Arial" (font_size 8))) (line (pt 0 72)(pt 16 72)(line_width 1)) ) (port (pt 0 40) (input) (text "1D" (rect 19 35 34 49)(font "Arial" (font_size 8))) (text "1D" (rect 19 35 34 49)(font "Arial" (font_size 8))) (line (pt 0 40)(pt 16 40)(line_width 1)) ) (port (pt 0 24) (input) (text "1PRN" (rect 19 19 49 33)(font "Arial" (font_size 8))) (text "1PRN" (rect 19 19 49 33)(font "Arial" (font_size 8))) (line (pt 0 24)(pt 8 24)(line_width 1)) ) (port (pt 0 136) (input) (text "2CLK" (rect 19 131 49 145)(font "Arial" (font_size 8))) (text "2CLK" (rect 19 131 49 145)(font "Arial" (font_size 8))) (line (pt 0 136)(pt 16 136)(line_width 1)) ) (port (pt 0 120) (input) (text "2CLRN" (rect 19 115 57 129)(font "Arial" (font_size 8))) (text "2CLRN" (rect 19 115 57 129)(font "Arial" (font_size 8))) (line (pt 0 120)(pt 8 120)(line_width 1)) ) (port (pt 0 56) (input) (text "1CLRN" (rect 19 51 57 65)(font "Arial" (font_size 8))) (text "1CLRN" (rect 19 51 57 65)(font "Arial" (font_size 8))) (line (pt 0 56)(pt 8 56)(line_width 1)) ) (port (pt 120 72) (output) (text "1QN" (rect 79 66 103 80)(font "Arial" (font_size 8))) (text "1QN" (rect 79 66 103 80)(font "Arial" (font_size 8))) (line (pt 112 72)(pt 120 72)(line_width 1)) ) (port (pt 120 88) (output) (text "2Q" (rect 87 82 103 96)(font "Arial" (font_size 8))) (text "2Q" (rect 87 82 103 96)(font "Arial" (font_size 8))) (line (pt 104 88)(pt 120 88)(line_width 1)) ) (port (pt 120 104) (output) (text "2QN" (rect 79 98 103 112)(font "Arial" (font_size 8))) (text "2QN" (rect 79 98 103 112)(font "Arial" (font_size 8))) (line (pt 112 104)(pt 120 104)(line_width 1)) ) (port (pt 120 56) (output) (text "1Q" (rect 87 50 103 64)(font "Arial" (font_size 8))) (text "1Q" (rect 87 50 103 64)(font "Arial" (font_size 8))) (line (pt 104 56)(pt 120 56)(line_width 1)) ) (drawing (text "D FLIP-FLOPS" (rect 29 147 108 161)(font "Arial" (font_size 8))) (line (pt 16 144)(pt 16 16)(line_width 1)) (line (pt 104 144)(pt 104 16)(line_width 1)) (line (pt 16 16)(pt 104 16)(line_width 1)) (line (pt 16 144)(pt 104 144)(line_width 1)) (circle (rect 8 52 16 60)(line_width 1)) (circle (rect 8 116 16 124)(line_width 1)) (circle (rect 8 20 16 28)(line_width 1)) (circle (rect 8 84 16 92)(line_width 1)) (circle (rect 104 68 112 76)(line_width 1)) (circle (rect 104 100 112 108)(line_width 1)) ) ) (symbol (rect -280 240 -160 400) (text "7474" (rect 46 1 79 17)(font "Arial" (font_size 10))) (text "inst49" (rect 3 149 32 161)(font "Arial" )) (port (pt 0 104) (input) (text "2D" (rect 19 99 34 113)(font "Arial" (font_size 8))) (text "2D" (rect 19 99 34 113)(font "Arial" (font_size 8))) (line (pt 0 104)(pt 16 104)(line_width 1)) ) (port (pt 0 88) (input) (text "2PRN" (rect 19 83 49 97)(font "Arial" (font_size 8))) (text "2PRN" (rect 19 83 49 97)(font "Arial" (font_size 8))) (line (pt 0 88)(pt 8 88)(line_width 1)) ) (port (pt 0 72) (input) (text "1CLK" (rect 19 67 49 81)(font "Arial" (font_size 8))) (text "1CLK" (rect 19 67 49 81)(font "Arial" (font_size 8))) (line (pt 0 72)(pt 16 72)(line_width 1)) ) (port (pt 0 40) (input) (text "1D" (rect 19 35 34 49)(font "Arial" (font_size 8))) (text "1D" (rect 19 35 34 49)(font "Arial" (font_size 8))) (line (pt 0 40)(pt 16 40)(line_width 1)) ) (port (pt 0 24) (input) (text "1PRN" (rect 19 19 49 33)(font "Arial" (font_size 8))) (text "1PRN" (rect 19 19 49 33)(font "Arial" (font_size 8))) (line (pt 0 24)(pt 8 24)(line_width 1)) ) (port (pt 0 136) (input) (text "2CLK" (rect 19 131 49 145)(font "Arial" (font_size 8))) (text "2CLK" (rect 19 131 49 145)(font "Arial" (font_size 8))) (line (pt 0 136)(pt 16 136)(line_width 1)) ) (port (pt 0 120) (input) (text "2CLRN" (rect 19 115 57 129)(font "Arial" (font_size 8))) (text "2CLRN" (rect 19 115 57 129)(font "Arial" (font_size 8))) (line (pt 0 120)(pt 8 120)(line_width 1)) ) (port (pt 0 56) (input) (text "1CLRN" (rect 19 51 57 65)(font "Arial" (font_size 8))) (text "1CLRN" (rect 19 51 57 65)(font "Arial" (font_size 8))) (line (pt 0 56)(pt 8 56)(line_width 1)) ) (port (pt 120 72) (output) (text "1QN" (rect 79 66 103 80)(font "Arial" (font_size 8))) (text "1QN" (rect 79 66 103 80)(font "Arial" (font_size 8))) (line (pt 112 72)(pt 120 72)(line_width 1)) ) (port (pt 120 88) (output) (text "2Q" (rect 87 82 103 96)(font "Arial" (font_size 8))) (text "2Q" (rect 87 82 103 96)(font "Arial" (font_size 8))) (line (pt 104 88)(pt 120 88)(line_width 1)) ) (port (pt 120 104) (output) (text "2QN" (rect 79 98 103 112)(font "Arial" (font_size 8))) (text "2QN" (rect 79 98 103 112)(font "Arial" (font_size 8))) (line (pt 112 104)(pt 120 104)(line_width 1)) ) (port (pt 120 56) (output) (text "1Q" (rect 87 50 103 64)(font "Arial" (font_size 8))) (text "1Q" (rect 87 50 103 64)(font "Arial" (font_size 8))) (line (pt 104 56)(pt 120 56)(line_width 1)) ) (drawing (text "D FLIP-FLOPS" (rect 29 147 108 161)(font "Arial" (font_size 8))) (line (pt 16 144)(pt 16 16)(line_width 1)) (line (pt 104 144)(pt 104 16)(line_width 1)) (line (pt 16 16)(pt 104 16)(line_width 1)) (line (pt 16 144)(pt 104 144)(line_width 1)) (circle (rect 8 52 16 60)(line_width 1)) (circle (rect 8 116 16 124)(line_width 1)) (circle (rect 8 20 16 28)(line_width 1)) (circle (rect 8 84 16 92)(line_width 1)) (circle (rect 104 68 112 76)(line_width 1)) (circle (rect 104 100 112 108)(line_width 1)) ) ) (symbol (rect -520 -8 -400 216) (text "74153" (rect 42 0 83 16)(font "Arial" (font_size 10))) (text "inst50" (rect 3 213 32 225)(font "Arial" )) (port (pt 0 88) (input) (text "1C1" (rect 21 79 43 93)(font "Arial" (font_size 8))) (text "1C1" (rect 21 79 43 93)(font "Arial" (font_size 8))) (line (pt 0 88)(pt 16 88)(line_width 1)) ) (port (pt 0 56) (input) (text "1GN" (rect 21 47 45 61)(font "Arial" (font_size 8))) (text "1GN" (rect 21 47 45 61)(font "Arial" (font_size 8))) (line (pt 0 56)(pt 8 56)(line_width 1)) ) (port (pt 0 72) (input) (text "1C0" (rect 21 63 43 77)(font "Arial" (font_size 8))) (text "1C0" (rect 21 63 43 77)(font "Arial" (font_size 8))) (line (pt 0 72)(pt 16 72)(line_width 1)) ) (port (pt 0 152) (input) (text "2C0" (rect 21 143 43 157)(font "Arial" (font_size 8))) (text "2C0" (rect 21 143 43 157)(font "Arial" (font_size 8))) (line (pt 0 152)(pt 16 152)(line_width 1)) ) (port (pt 0 136) (input) (text "2GN" (rect 21 127 45 141)(font "Arial" (font_size 8))) (text "2GN" (rect 21 127 45 141)(font "Arial" (font_size 8))) (line (pt 0 136)(pt 8 136)(line_width 1)) ) (port (pt 0 184) (input) (text "2C2" (rect 21 175 43 189)(font "Arial" (font_size 8))) (text "2C2" (rect 21 175 43 189)(font "Arial" (font_size 8))) (line (pt 0 184)(pt 16 184)(line_width 1)) ) (port (pt 0 104) (input) (text "1C2" (rect 21 95 43 109)(font "Arial" (font_size 8))) (text "1C2" (rect 21 95 43 109)(font "Arial" (font_size 8))) (line (pt 0 104)(pt 16 104)(line_width 1)) ) (port (pt 0 120) (input) (text "1C3" (rect 21 111 43 125)(font "Arial" (font_size 8))) (text "1C3" (rect 21 111 43 125)(font "Arial" (font_size 8))) (line (pt 0 120)(pt 16 120)(line_width 1)) ) (port (pt 0 40) (input) (text "B" (rect 21 33 29 47)(font "Arial" (font_size 8))) (text "B" (rect 21 33 29 47)(font "Arial" (font_size 8))) (line (pt 0 40)(pt 16 40)(line_width 1)) ) (port (pt 0 24) (input) (text "A" (rect 21 17 30 31)(font "Arial" (font_size 8))) (text "A" (rect 21 17 30 31)(font "Arial" (font_size 8))) (line (pt 0 24)(pt 16 24)(line_width 1)) ) (port (pt 0 168) (input) (text "2C1" (rect 21 159 43 173)(font "Arial" (font_size 8))) (text "2C1" (rect 21 159 43 173)(font "Arial" (font_size 8))) (line (pt 0 168)(pt 16 168)(line_width 1)) ) (port (pt 0 200) (input) (text "2C3" (rect 21 190 43 204)(font "Arial" (font_size 8))) (text "2C3" (rect 21 190 43 204)(font "Arial" (font_size 8))) (line (pt 0 200)(pt 16 200)(line_width 1)) ) (port (pt 120 104) (output) (text "1Y" (rect 86 97 102 111)(font "Arial" (font_size 8))) (text "1Y" (rect 86 97 102 111)(font "Arial" (font_size 8))) (line (pt 104 104)(pt 120 104)(line_width 1)) ) (port (pt 120 120) (output) (text "2Y" (rect 86 113 102 127)(font "Arial" (font_size 8))) (text "2Y" (rect 86 113 102 127)(font "Arial" (font_size 8))) (line (pt 104 120)(pt 120 120)(line_width 1)) ) (drawing (text "MULTIPLEXER" (rect 27 209 106 223)(font "Arial" (font_size 8))) (line (pt 16 16)(pt 104 16)(line_width 1)) (line (pt 16 208)(pt 104 208)(line_width 1)) (line (pt 16 208)(pt 16 16)(line_width 1)) (line (pt 104 208)(pt 104 16)(line_width 1)) (circle (rect 8 52 16 60)(line_width 1)) (circle (rect 8 132 16 140)(line_width 1)) ) ) (symbol (rect -520 224 -400 448) (text "74153" (rect 42 0 83 16)(font "Arial" (font_size 10))) (text "inst51" (rect 3 213 32 225)(font "Arial" )) (port (pt 0 88) (input) (text "1C1" (rect 21 79 43 93)(font "Arial" (font_size 8))) (text "1C1" (rect 21 79 43 93)(font "Arial" (font_size 8))) (line (pt 0 88)(pt 16 88)(line_width 1)) ) (port (pt 0 56) (input) (text "1GN" (rect 21 47 45 61)(font "Arial" (font_size 8))) (text "1GN" (rect 21 47 45 61)(font "Arial" (font_size 8))) (line (pt 0 56)(pt 8 56)(line_width 1)) ) (port (pt 0 72) (input) (text "1C0" (rect 21 63 43 77)(font "Arial" (font_size 8))) (text "1C0" (rect 21 63 43 77)(font "Arial" (font_size 8))) (line (pt 0 72)(pt 16 72)(line_width 1)) ) (port (pt 0 152) (input) (text "2C0" (rect 21 143 43 157)(font "Arial" (font_size 8))) (text "2C0" (rect 21 143 43 157)(font "Arial" (font_size 8))) (line (pt 0 152)(pt 16 152)(line_width 1)) ) (port (pt 0 136) (input) (text "2GN" (rect 21 127 45 141)(font "Arial" (font_size 8))) (text "2GN" (rect 21 127 45 141)(font "Arial" (font_size 8))) (line (pt 0 136)(pt 8 136)(line_width 1)) ) (port (pt 0 184) (input) (text "2C2" (rect 21 175 43 189)(font "Arial" (font_size 8))) (text "2C2" (rect 21 175 43 189)(font "Arial" (font_size 8))) (line (pt 0 184)(pt 16 184)(line_width 1)) ) (port (pt 0 104) (input) (text "1C2" (rect 21 95 43 109)(font "Arial" (font_size 8))) (text "1C2" (rect 21 95 43 109)(font "Arial" (font_size 8))) (line (pt 0 104)(pt 16 104)(line_width 1)) ) (port (pt 0 120) (input) (text "1C3" (rect 21 111 43 125)(font "Arial" (font_size 8))) (text "1C3" (rect 21 111 43 125)(font "Arial" (font_size 8))) (line (pt 0 120)(pt 16 120)(line_width 1)) ) (port (pt 0 40) (input) (text "B" (rect 21 33 29 47)(font "Arial" (font_size 8))) (text "B" (rect 21 33 29 47)(font "Arial" (font_size 8))) (line (pt 0 40)(pt 16 40)(line_width 1)) ) (port (pt 0 24) (input) (text "A" (rect 21 17 30 31)(font "Arial" (font_size 8))) (text "A" (rect 21 17 30 31)(font "Arial" (font_size 8))) (line (pt 0 24)(pt 16 24)(line_width 1)) ) (port (pt 0 168) (input) (text "2C1" (rect 21 159 43 173)(font "Arial" (font_size 8))) (text "2C1" (rect 21 159 43 173)(font "Arial" (font_size 8))) (line (pt 0 168)(pt 16 168)(line_width 1)) ) (port (pt 0 200) (input) (text "2C3" (rect 21 190 43 204)(font "Arial" (font_size 8))) (text "2C3" (rect 21 190 43 204)(font "Arial" (font_size 8))) (line (pt 0 200)(pt 16 200)(line_width 1)) ) (port (pt 120 104) (output) (text "1Y" (rect 86 97 102 111)(font "Arial" (font_size 8))) (text "1Y" (rect 86 97 102 111)(font "Arial" (font_size 8))) (line (pt 104 104)(pt 120 104)(line_width 1)) ) (port (pt 120 120) (output) (text "2Y" (rect 86 113 102 127)(font "Arial" (font_size 8))) (text "2Y" (rect 86 113 102 127)(font "Arial" (font_size 8))) (line (pt 104 120)(pt 120 120)(line_width 1)) ) (drawing (text "MULTIPLEXER" (rect 27 209 106 223)(font "Arial" (font_size 8))) (line (pt 16 16)(pt 104 16)(line_width 1)) (line (pt 16 208)(pt 104 208)(line_width 1)) (line (pt 16 208)(pt 16 16)(line_width 1)) (line (pt 104 208)(pt 104 16)(line_width 1)) (circle (rect 8 52 16 60)(line_width 1)) (circle (rect 8 132 16 140)(line_width 1)) ) ) (symbol (rect -504 -688 -472 -656) (text "GND" (rect 8 16 29 26)(font "Arial" (font_size 6))) (text "inst52" (rect 3 21 32 33)(font "Arial" )(invisible)) (port (pt 16 0) (output) (text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible)) (text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible)) (line (pt 16 8)(pt 16 0)(line_width 1)) ) (drawing (line (pt 8 8)(pt 16 16)(line_width 1)) (line (pt 16 16)(pt 24 8)(line_width 1)) (line (pt 8 8)(pt 24 8)(line_width 1)) ) ) (symbol (rect 1000 568 1032 600) (text "GND" (rect 8 16 29 26)(font "Arial" (font_size 6))) (text "inst54" (rect 3 21 32 33)(font "Arial" )(invisible)) (port (pt 16 0) (output) (text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible)) (text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible)) (line (pt 16 8)(pt 16 0)(line_width 1)) ) (drawing (line (pt 8 8)(pt 16 16)(line_width 1)) (line (pt 16 16)(pt 24 8)(line_width 1)) (line (pt 8 8)(pt 24 8)(line_width 1)) ) ) (symbol (rect 1320 1016 1352 1048) (text "GND" (rect 8 16 29 26)(font "Arial" (font_size 6))) (text "inst55" (rect 3 21 32 33)(font "Arial" )(invisible)) (port (pt 16 0) (output) (text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible)) (text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible)) (line (pt 16 8)(pt 16 0)(line_width 1)) ) (drawing (line (pt 8 8)(pt 16 16)(line_width 1)) (line (pt 16 16)(pt 24 8)(line_width 1)) (line (pt 8 8)(pt 24 8)(line_width 1)) ) ) (connector (pt 848 40) (pt 784 40) ) (connector (pt 848 96) (pt 784 96) ) (connector (pt 848 152) (pt 784 152) ) (connector (pt 272 760) (pt 272 744) ) (connector (pt 272 744) (pt 320 744) ) (connector (pt 320 784) (pt 304 784) ) (connector (pt 304 784) (pt 304 808) ) (connector (pt 304 808) (pt 288 808) ) (connector (pt 320 800) (pt 312 800) ) (connector (pt 312 800) (pt 312 864) ) (connector (pt 312 864) (pt 288 864) ) (connector (pt 224 800) (pt 216 800) ) (connector (pt 216 800) (pt 216 728) ) (connector (pt 224 816) (pt 192 816) ) (connector (pt 192 760) (pt 192 816) ) (connector (pt 224 856) (pt 128 856) ) (connector (pt 128 720) (pt 128 856) ) (connector (pt 224 872) (pt 120 872) ) (connector (pt 120 872) (pt 120 736) ) (connector (pt 384 792) (pt 456 792) ) (connector (pt 200 728) (pt 216 728) ) (connector (pt 216 728) (pt 320 728) ) (connector (pt 72 720) (pt 128 720) ) (connector (pt 128 720) (pt 136 720) ) (connector (pt 264 352) (pt 264 336) ) (connector (pt 264 336) (pt 312 336) ) (connector (pt 312 376) (pt 296 376) ) (connector (pt 296 376) (pt 296 400) ) (connector (pt 296 400) (pt 280 400) ) (connector (pt 312 392) (pt 304 392) ) (connector (pt 304 392) (pt 304 456) ) (connector (pt 304 456) (pt 280 456) ) (connector (pt 216 392) (pt 208 392) ) (connector (pt 208 392) (pt 208 320) ) (connector (pt 216 408) (pt 184 408) ) (connector (pt 184 352) (pt 184 408) ) (connector (pt 216 448) (pt 120 448) ) (connector (pt 120 312) (pt 120 448) ) (connector (pt 216 464) (pt 112 464) ) (connector (pt 112 464) (pt 112 328) ) (connector (pt 376 384) (pt 448 384) ) (connector (pt 192 320) (pt 208 320) ) (connector (pt 208 320) (pt 312 320) ) (connector (pt 272 560) (pt 272 544) ) (connector (pt 272 544) (pt 320 544) ) (connector (pt 320 584) (pt 304 584) ) (connector (pt 304 584) (pt 304 608) ) (connector (pt 304 608) (pt 288 608) ) (connector (pt 320 600) (pt 312 600) ) (connector (pt 312 600) (pt 312 664) ) (connector (pt 312 664) (pt 288 664) ) (connector (pt 224 600) (pt 216 600) ) (connector (pt 216 600) (pt 216 528) ) (connector (pt 224 616) (pt 192 616) ) (connector (pt 192 560) (pt 192 616) ) (connector (pt 224 656) (pt 128 656) ) (connector (pt 128 520) (pt 128 656) ) (connector (pt 224 672) (pt 120 672) ) (connector (pt 120 672) (pt 120 536) ) (connector (pt 384 592) (pt 456 592) ) (connector (pt 200 528) (pt 216 528) ) (connector (pt 216 528) (pt 320 528) ) (connector (pt 192 560) (pt 272 560) ) (connector (pt 448 496) (pt 448 384) ) (connector (pt 448 496) (pt 40 496) ) (connector (pt 40 496) (pt 40 560) ) (connector (pt 40 560) (pt 192 560) ) (connector (pt 48 760) (pt 48 696) ) (connector (pt 48 760) (pt 192 760) ) (connector (pt 192 760) (pt 272 760) ) (connector (pt 48 696) (pt 456 696) ) (connector (pt 456 696) (pt 456 592) ) (connector (pt 272 976) (pt 272 960) ) (connector (pt 272 960) (pt 320 960) ) (connector (pt 320 1000) (pt 304 1000) ) (connector (pt 304 1000) (pt 304 1024) ) (connector (pt 304 1024) (pt 288 1024) ) (connector (pt 320 1016) (pt 312 1016) ) (connector (pt 312 1016) (pt 312 1080) ) (connector (pt 312 1080) (pt 288 1080) ) (connector (pt 224 1016) (pt 216 1016) ) (connector (pt 216 1016) (pt 216 944) ) (connector (pt 224 1032) (pt 192 1032) ) (connector (pt 192 976) (pt 192 1032) ) (connector (pt 224 1072) (pt 128 1072) ) (connector (pt 128 936) (pt 128 1072) ) (connector (pt 224 1088) (pt 120 1088) ) (connector (pt 120 1088) (pt 120 952) ) (connector (pt 200 944) (pt 216 944) ) (connector (pt 216 944) (pt 320 944) ) (connector (pt 40 976) (pt 40 896) ) (connector (pt 40 976) (pt 192 976) ) (connector (pt 192 976) (pt 272 976) ) (connector (pt 456 896) (pt 40 896) ) (connector (pt 456 896) (pt 456 792) ) (connector (pt 104 64) (pt 184 64) ) (connector (pt 432 40) (pt 432 224) ) (connector (pt 576 24) (pt 528 24) ) (connector (pt 472 16) (pt 472 8) ) (connector (pt 576 96) (pt 528 96) ) (connector (pt 456 24) (pt 456 80) ) (connector (pt 576 168) (pt 528 168) ) (connector (pt 448 32) (pt 448 152) ) (connector (pt 784 40) (pt 784 56) ) (connector (pt 528 24) (pt 528 56) ) (connector (pt 480 56) (pt 528 56) ) (connector (pt 528 56) (pt 784 56) ) (connector (pt 480 56) (pt 480 256) ) (connector (pt 784 96) (pt 784 128) ) (connector (pt 528 96) (pt 528 128) ) (connector (pt 488 128) (pt 528 128) ) (connector (pt 528 128) (pt 784 128) ) (connector (pt 488 128) (pt 488 264) ) (connector (pt 784 200) (pt 784 152) ) (connector (pt 528 168) (pt 528 200) ) (connector (pt 496 200) (pt 528 200) ) (connector (pt 528 200) (pt 784 200) ) (connector (pt 496 200) (pt 496 272) ) (connector (pt 768 248) (pt 848 248) ) (connector (pt 768 272) (pt 768 248) ) (connector (pt 528 272) (pt 768 272) ) (connector (pt 576 240) (pt 528 240) ) (connector (pt 528 240) (pt 528 272) ) (connector (pt 528 272) (pt 528 280) ) (connector (pt 760 24) (pt 848 24) ) (connector (pt 760 24) (pt 760 48) ) (connector (pt 760 48) (pt 544 48) ) (connector (pt 544 48) (pt 544 8) ) (connector (pt 472 8) (pt 544 8) ) (connector (pt 544 8) (pt 576 8) ) (connector (pt 776 80) (pt 848 80) ) (connector (pt 776 80) (pt 776 120) ) (connector (pt 544 120) (pt 776 120) ) (connector (pt 544 120) (pt 544 80) ) (connector (pt 456 80) (pt 544 80) ) (connector (pt 544 80) (pt 576 80) ) (connector (pt 776 136) (pt 848 136) ) (connector (pt 776 136) (pt 776 192) ) (connector (pt 776 192) (pt 544 192) ) (connector (pt 544 152) (pt 544 192) ) (connector (pt 448 152) (pt 544 152) ) (connector (pt 544 152) (pt 576 152) ) (connector (pt 752 232) (pt 848 232) ) (connector (pt 752 264) (pt 544 264) ) (connector (pt 544 224) (pt 544 264) ) (connector (pt 432 224) (pt 544 224) ) (connector (pt 544 224) (pt 576 224) ) (connector (pt 104 312) (pt 120 312) ) (connector (pt 120 312) (pt 128 312) ) (connector (pt 104 64) (pt 104 312) ) (connector (pt 96 256) (pt 96 328) ) (connector (pt 96 328) (pt 112 328) ) (connector (pt 112 328) (pt 128 328) ) (connector (pt 88 520) (pt 88 104) ) (connector (pt 88 520) (pt 128 520) ) (connector (pt 128 520) (pt 136 520) ) (connector (pt 72 104) (pt 88 104) ) (connector (pt 88 104) (pt 184 104) ) (connector (pt 80 536) (pt 80 264) ) (connector (pt 80 536) (pt 120 536) ) (connector (pt 120 536) (pt 136 536) ) (connector (pt 72 720) (pt 72 144) ) (connector (pt 40 144) (pt 72 144) ) (connector (pt 72 144) (pt 184 144) ) (connector (pt 64 736) (pt 64 272) ) (connector (pt 64 736) (pt 120 736) ) (connector (pt 120 736) (pt 136 736) ) (connector (pt 56 936) (pt 56 184) ) (connector (pt 56 936) (pt 128 936) ) (connector (pt 128 936) (pt 136 936) ) (connector (pt 8 184) (pt 56 184) ) (connector (pt 56 184) (pt 184 184) ) (connector (pt 24 952) (pt 24 280) ) (connector (pt 24 952) (pt 120 952) ) (connector (pt 120 952) (pt 136 952) ) (connector (pt -24 352) (pt 184 352) ) (connector (pt 184 352) (pt 264 352) ) (connector (pt 424 64) (pt 232 64) ) (connector (pt 424 352) (pt 424 64) ) (connector (pt 416 104) (pt 232 104) ) (connector (pt 472 144) (pt 232 144) ) (connector (pt 400 184) (pt 232 184) ) (connector (pt 384 952) (pt 848 952) ) (connector (pt 752 232) (pt 752 264) ) (connector (pt 640 88) (pt 744 88) ) (connector (pt 640 160) (pt 736 160) ) (connector (pt 640 232) (pt 728 232) ) (connector (pt 1032 1008) (pt 384 1008) ) (connector (pt 824 16) (pt 640 16) ) (connector (pt 944 -160) (pt 912 -160) ) (connector (pt 912 -264) (pt 880 -264) ) (connector (pt 880 -264) (pt 880 -248) ) (connector (pt 944 -112) (pt 912 -112) ) (connector (pt 912 -264) (pt 912 -160) ) (connector (pt 912 -160) (pt 912 -112) ) (connector (pt 944 -144) (pt 888 -144) ) (connector (pt 888 -144) (pt 888 -152) ) (connector (pt 1072 -32) (pt 1112 -32) ) (connector (pt 1072 -32) (pt 1072 -112) ) (connector (pt 1080 -112) (pt 1072 -112) ) (connector (pt 1072 -112) (pt 1064 -112) ) (connector (pt 1128 -88) (pt 1136 -88) ) (connector (pt 1136 -88) (pt 1136 -112) ) (connector (pt 1136 -112) (pt 1128 -112) ) (connector (text "S0" (rect 825 -208 837 -196)(font "Arial" )) (pt 944 -192) (pt 816 -192) ) (connector (pt -144 256) (pt 96 256) ) (connector (pt 96 256) (pt 480 256) ) (connector (pt -152 264) (pt 80 264) ) (connector (pt 80 264) (pt 488 264) ) (connector (pt -144 272) (pt 64 272) ) (connector (pt 64 272) (pt 496 272) ) (connector (pt -136 280) (pt 24 280) ) (connector (pt 24 280) (pt 528 280) ) (connector (pt -24 352) (pt -24 312) ) (connector (text "Cin" (rect -112 296 -96 308)(font "Arial" )) (pt -24 312) (pt -120 312) ) (connector (pt -520 -376) (pt -552 -376) ) (connector (pt -520 -360) (pt -560 -360) ) (connector (pt -552 -608) (pt -520 -608) ) (connector (pt -560 -592) (pt -520 -592) ) (connector (pt -552 -696) (pt -552 -608) ) (connector (pt -560 -680) (pt -560 -592) ) (connector (pt -552 16) (pt -520 16) ) (connector (pt -552 -608) (pt -552 -376) ) (connector (pt -560 32) (pt -520 32) ) (connector (pt -560 -592) (pt -560 -360) ) (connector (pt -520 360) (pt -536 360) ) (connector (pt -520 280) (pt -536 280) ) (connector (pt -536 360) (pt -536 280) ) (connector (pt -520 48) (pt -536 48) ) (connector (pt -520 128) (pt -536 128) ) (connector (pt -488 -688) (pt -488 -696) ) (connector (pt -520 -576) (pt -536 -576) ) (connector (pt -536 -696) (pt -536 -576) ) (connector (pt -520 -496) (pt -536 -496) ) (connector (pt -536 -576) (pt -536 -496) ) (connector (pt -520 -344) (pt -536 -344) ) (connector (pt -536 -496) (pt -536 -344) ) (connector (pt -520 -264) (pt -536 -264) ) (connector (pt -536 -344) (pt -536 -264) ) (connector (text "MSB1" (rect -742 -696 -714 -684)(font "Arial" )) (pt -776 -680) (pt -560 -680) ) (connector (text "MSB0" (rect -730 -712 -702 -700)(font "Arial" )) (pt -752 -696) (pt -552 -696) ) (connector (pt -400 -528) (pt -280 -528) ) (connector (pt -400 -512) (pt -392 -512) ) (connector (pt -392 -512) (pt -392 -464) ) (connector (pt -392 -464) (pt -280 -464) ) (connector (pt -400 -296) (pt -384 -296) ) (connector (pt -384 -296) (pt -384 -344) ) (connector (pt -384 -344) (pt -280 -344) ) (connector (pt -280 -280) (pt -400 -280) ) (connector (pt -280 344) (pt -400 344) ) (connector (pt -400 328) (pt -392 328) ) (connector (pt -392 328) (pt -392 280) ) (connector (pt -280 280) (pt -392 280) ) (connector (pt -280 112) (pt -400 112) ) (connector (pt -400 96) (pt -392 96) ) (connector (pt -392 96) (pt -392 48) ) (connector (pt -392 48) (pt -280 48) ) (connector (pt 104 -512) (pt 104 16) ) (connector (pt 104 16) (pt 104 64) ) (connector (pt 72 -480) (pt 72 24) ) (connector (pt 72 24) (pt 72 104) ) (connector (pt 40 -328) (pt 40 32) ) (connector (pt 40 32) (pt 40 144) ) (connector (pt 8 -296) (pt 8 40) ) (connector (pt 8 40) (pt 8 184) ) (connector (pt -520 -560) (pt -672 -560) ) (connector (pt -672 -560) (pt -672 -616) ) (connector (pt -520 -480) (pt -680 -480) ) (connector (pt -680 -480) (pt -680 -600) ) (connector (text "A1" (rect -674 -632 -662 -620)(font "Arial" )) (pt -672 -616) (pt -768 -616) ) (connector (text "A2" (rect -721 -616 -709 -604)(font "Arial" )) (pt -680 -600) (pt -736 -600) ) (connector (pt -520 -328) (pt -688 -328) ) (connector (pt -688 -576) (pt -688 -328) ) (connector (text "A3" (rect -720 -592 -708 -580)(font "Arial" )) (pt -688 -576) (pt -728 -576) ) (connector (pt -520 -248) (pt -696 -248) ) (connector (pt -696 -248) (pt -696 -560) ) (connector (text "A4" (rect -760 -576 -748 -564)(font "Arial" )) (pt -696 -560) (pt -768 -560) ) (connector (pt -520 144) (pt -712 144) ) (connector (pt -712 144) (pt -712 88) ) (connector (pt -520 296) (pt -720 296) ) (connector (pt -720 296) (pt -720 112) ) (connector (pt -520 376) (pt -728 376) ) (connector (pt -728 376) (pt -728 144) ) (connector (text "B1" (rect -774 48 -762 60)(font "Arial" )) (pt -520 64) (pt -784 64) ) (connector (text "B2" (rect -775 72 -763 84)(font "Arial" )) (pt -712 88) (pt -784 88) ) (connector (text "B3" (rect -772 96 -760 108)(font "Arial" )) (pt -720 112) (pt -784 112) ) (connector (text "B4" (rect -776 128 -764 140)(font "Arial" )) (pt -728 144) (pt -784 144) ) (connector (pt -552 -376) (pt -552 16) ) (connector (pt -552 16) (pt -552 248) ) (connector (pt -520 248) (pt -552 248) ) (connector (pt -520 264) (pt -560 264) ) (connector (pt -560 -360) (pt -560 32) ) (connector (pt -560 32) (pt -560 264) ) (connector (pt -800 -544) (pt -800 -640) ) (connector (pt -800 -640) (pt -136 -640) ) (connector (pt -136 -640) (pt -136 -512) ) (connector (pt -136 -512) (pt -160 -512) ) (connector (pt -800 -400) (pt -800 -464) ) (connector (pt -136 -400) (pt -800 -400) ) (connector (pt -136 -400) (pt -136 -480) ) (connector (pt -136 -480) (pt -160 -480) ) (connector (pt -520 80) (pt -720 80) ) (connector (pt -720 -544) (pt -720 80) ) (connector (pt -800 -544) (pt -720 -544) ) (connector (pt -720 -544) (pt -520 -544) ) (connector (pt -520 160) (pt -688 160) ) (connector (pt -688 -184) (pt -688 160) ) (connector (pt -688 -184) (pt -712 -184) ) (connector (pt -712 -184) (pt -712 -464) ) (connector (pt -520 -464) (pt -712 -464) ) (connector (pt -712 -464) (pt -800 -464) ) (connector (pt -520 -312) (pt -600 -312) ) (connector (pt -152 -168) (pt -600 -168) ) (connector (pt -152 -168) (pt -152 -328) ) (connector (pt -152 -328) (pt -160 -328) ) (connector (pt -520 -232) (pt -584 -232) ) (connector (pt -144 -160) (pt -584 -160) ) (connector (pt -144 -160) (pt -144 -296) ) (connector (pt -144 -296) (pt -160 -296) ) (connector (pt -520 312) (pt -600 312) ) (connector (pt -600 -312) (pt -600 -168) ) (connector (pt -600 -168) (pt -600 312) ) (connector (pt -520 392) (pt -584 392) ) (connector (pt -584 -232) (pt -584 -160) ) (connector (pt -584 -160) (pt -584 392) ) (connector (pt -520 -528) (pt -624 -528) ) (connector (pt -520 -448) (pt -608 -448) ) (connector (pt -144 -64) (pt -624 -64) ) (connector (pt -144 -64) (pt -144 64) ) (connector (pt -144 64) (pt -144 256) ) (connector (pt -608 -24) (pt -152 -24) ) (connector (pt -152 -24) (pt -152 96) ) (connector (pt -152 96) (pt -152 264) ) (connector (pt -520 96) (pt -624 96) ) (connector (pt -624 -528) (pt -624 -64) ) (connector (pt -624 -64) (pt -624 96) ) (connector (pt -520 176) (pt -608 176) ) (connector (pt -608 -448) (pt -608 -24) ) (connector (pt -608 -24) (pt -608 176) ) (connector (pt -552 328) (pt -552 456) ) (connector (pt -552 456) (pt -144 456) ) (connector (pt -144 272) (pt -144 296) ) (connector (pt -144 296) (pt -144 456) ) (connector (pt -560 408) (pt -560 464) ) (connector (pt -560 464) (pt -136 464) ) (connector (pt -136 280) (pt -136 328) ) (connector (pt -136 328) (pt -136 464) ) (connector (pt -520 -296) (pt -656 -296) ) (connector (pt -656 328) (pt -656 -296) ) (connector (pt -656 328) (pt -552 328) ) (connector (pt -552 328) (pt -520 328) ) (connector (pt -648 408) (pt -560 408) ) (connector (pt -560 408) (pt -520 408) ) (connector (pt -648 -216) (pt -648 408) ) (connector (pt -648 -216) (pt -520 -216) ) (connector (pt -680 112) (pt -680 104) ) (connector (pt -680 104) (pt -872 104) ) (connector (pt -872 480) (pt -64 480) ) (connector (pt -872 -512) (pt -520 -512) ) (connector (pt -872 -512) (pt -872 104) ) (connector (pt -872 104) (pt -872 480) ) (connector (pt -72 488) (pt -888 488) ) (connector (pt -520 -432) (pt -888 -432) ) (connector (pt -520 192) (pt -888 192) ) (connector (pt -888 192) (pt -888 -432) ) (connector (pt -888 192) (pt -888 488) ) (connector (pt -80 496) (pt -896 496) ) (connector (pt -520 344) (pt -896 344) ) (connector (pt -520 -280) (pt -896 -280) ) (connector (pt -896 -280) (pt -896 344) ) (connector (pt -896 344) (pt -896 496) ) (connector (pt -88 504) (pt -904 504) ) (connector (pt -520 424) (pt -904 424) ) (connector (pt -904 -200) (pt -520 -200) ) (connector (pt -904 -200) (pt -904 424) ) (connector (pt -904 424) (pt -904 504) ) (connector (pt 416 424) (pt 416 104) ) (connector (pt 1360 544) (pt 1336 544) ) (connector (pt 1360 560) (pt 1328 560) ) (connector (pt 1032 1008) (pt 1032 760) ) (connector (text "Cout" (rect 1635 744 1658 756)(font "Arial" )) (pt 1032 760) (pt 1648 760) ) (connector (pt 1336 800) (pt 1360 800) ) (connector (pt 1328 816) (pt 1360 816) ) (connector (text "S1" (rect 1127 -176 1139 -164)(font "Arial" )) (pt 1120 -160) (pt 1336 -160) ) (connector (text "S2" (rect 1110 -168 1122 -156)(font "Arial" )) (pt 1104 -152) (pt 1328 -152) ) (connector (pt 1480 880) (pt 1680 880) ) (connector (pt 1680 880) (pt 1680 640) ) (connector (pt 1688 640) (pt 1680 640) ) (connector (pt 1480 896) (pt 1672 896) ) (connector (pt 1672 896) (pt 1672 696) ) (connector (pt 1672 696) (pt 1688 696) ) (connector (pt 1688 680) (pt 1520 680) ) (connector (pt 1480 640) (pt 1520 640) ) (connector (pt 1520 640) (pt 1520 680) ) (connector (pt 1576 528) (pt 1688 528) ) (connector (pt 1584 512) (pt 1688 512) ) (connector (pt 1608 584) (pt 1688 584) ) (connector (pt 1688 568) (pt 1616 568) ) (connector (text "O2" (rect 1760 560 1774 572)(font "Arial" )) (pt 1936 576) (pt 1752 576) ) (connector (pt 1928 520) (pt 1928 1112) ) (connector (pt -64 1112) (pt 1928 1112) ) (connector (pt -64 480) (pt -64 1112) ) (connector (pt 1936 1120) (pt 1936 576) ) (connector (pt -72 1120) (pt 1936 1120) ) (connector (pt -72 488) (pt -72 1120) ) (connector (text "O3" (rect 1760 616 1774 628)(font "Arial" )) (pt 1944 632) (pt 1752 632) ) (connector (pt 1944 632) (pt 1944 1128) ) (connector (pt -80 1128) (pt 1944 1128) ) (connector (pt -80 496) (pt -80 1128) ) (connector (text "O4" (rect 1864 672 1878 684)(font "Arial" )) (pt 1952 688) (pt 1752 688) ) (connector (pt 1952 688) (pt 1952 1136) ) (connector (pt -88 1136) (pt 1952 1136) ) (connector (pt -88 504) (pt -88 1136) ) (connector (pt 1584 136) (pt 1520 136) ) (connector (pt 1584 512) (pt 1584 136) ) (connector (pt 1608 152) (pt 1520 152) ) (connector (pt 1608 584) (pt 1608 152) ) (connector (pt 1328 72) (pt 1400 72) ) (connector (pt 1336 56) (pt 1400 56) ) (connector (pt 1576 528) (pt 1576 384) ) (connector (pt 1616 568) (pt 1616 400) ) (connector (pt 1328 320) (pt 1408 320) ) (connector (pt 1336 304) (pt 1408 304) ) (connector (pt 1400 104) (pt 1392 104) ) (connector (pt 1400 184) (pt 1384 184) ) (connector (pt 1408 352) (pt 1376 352) ) (connector (pt 1408 432) (pt 1368 432) ) (connector (pt 1392 -512) (pt 1392 104) ) (connector (pt 1384 -480) (pt 1384 184) ) (connector (pt 1376 -328) (pt 1376 352) ) (connector (pt 1368 -296) (pt 1368 432) ) (connector (pt 1400 120) (pt 1360 120) ) (connector (pt 1400 200) (pt 1352 200) ) (connector (pt 1360 -464) (pt 1360 120) ) (connector (pt 1408 368) (pt 1344 368) ) (connector (pt 1344 -448) (pt 1344 368) ) (connector (pt 1112 -32) (pt 1112 576) ) (connector (pt 1112 832) (pt 1112 912) ) (connector (pt 1112 576) (pt 1112 656) ) (connector (pt 1112 656) (pt 1112 832) ) (connector (pt 1128 -88) (pt 1128 88) ) (connector (pt 1128 88) (pt 1128 168) ) (connector (pt 1336 -160) (pt 1336 56) ) (connector (pt 1336 544) (pt 1336 800) ) (connector (pt 1328 -152) (pt 1328 72) ) (connector (pt 1328 560) (pt 1328 816) ) (connector (pt 1128 168) (pt 1128 336) ) (connector (pt 1128 336) (pt 1128 416) ) (connector (pt 1328 72) (pt 1328 320) ) (connector (pt 1328 320) (pt 1328 560) ) (connector (pt 1336 56) (pt 1336 304) ) (connector (pt 1336 304) (pt 1336 544) ) (connector (pt -136 -512) (pt 104 -512) ) (connector (pt 104 -512) (pt 1392 -512) ) (connector (pt -136 -480) (pt 72 -480) ) (connector (pt 72 -480) (pt 1384 -480) ) (connector (pt -152 -328) (pt 40 -328) ) (connector (pt 40 -328) (pt 1376 -328) ) (connector (pt -144 -296) (pt 8 -296) ) (connector (pt 8 -296) (pt 1368 -296) ) (connector (pt 1128 336) (pt 1408 336) ) (connector (pt 1128 416) (pt 1408 416) ) (connector (pt 1112 576) (pt 1360 576) ) (connector (pt 1112 656) (pt 1360 656) ) (connector (pt 1128 88) (pt 1400 88) ) (connector (pt 1128 168) (pt 1400 168) ) (connector (pt 1352 -456) (pt 1352 200) ) (connector (pt 1112 832) (pt 1360 832) ) (connector (pt 1112 912) (pt 1360 912) ) (connector (pt 1480 624) (pt 1688 624) ) (connector (pt 1408 448) (pt 1312 448) ) (connector (pt 1312 -440) (pt 1312 448) ) (connector (pt -128 -464) (pt 1360 -464) ) (connector (pt -128 -464) (pt -128 64) ) (connector (pt -160 64) (pt -144 64) ) (connector (pt -144 64) (pt -128 64) ) (connector (pt -120 96) (pt -120 -456) ) (connector (pt -160 96) (pt -152 96) ) (connector (pt -152 96) (pt -120 96) ) (connector (pt -120 -456) (pt 1352 -456) ) (connector (pt -112 -448) (pt 1344 -448) ) (connector (pt -104 -440) (pt 1312 -440) ) (connector (pt -104 -440) (pt -104 328) ) (connector (pt -160 328) (pt -136 328) ) (connector (pt -136 328) (pt -104 328) ) (connector (pt -112 296) (pt -112 -448) ) (connector (pt -160 296) (pt -144 296) ) (connector (pt -144 296) (pt -112 296) ) (connector (pt 1400 136) (pt 928 136) ) (connector (pt 928 136) (pt 928 352) ) (connector (pt 424 352) (pt 928 352) ) (connector (pt 1400 216) (pt 936 216) ) (connector (pt 936 216) (pt 936 424) ) (connector (pt 936 424) (pt 416 424) ) (connector (pt 400 464) (pt 1408 464) ) (connector (pt 400 184) (pt 400 464) ) (connector (pt 472 144) (pt 472 384) ) (connector (pt 1400 152) (pt 952 152) ) (connector (pt 952 192) (pt 952 152) ) (connector (pt 952 192) (pt 824 192) ) (connector (pt 824 192) (pt 824 16) ) (connector (pt 1400 232) (pt 920 232) ) (connector (pt 920 208) (pt 920 232) ) (connector (pt 920 208) (pt 744 208) ) (connector (pt 744 88) (pt 744 208) ) (connector (pt 1408 400) (pt 736 400) ) (connector (pt 736 160) (pt 736 400) ) (connector (pt 1408 480) (pt 728 480) ) (connector (pt 728 480) (pt 728 232) ) (connector (pt 472 384) (pt 1408 384) ) (connector (pt 1360 592) (pt 1072 592) ) (connector (pt 1072 32) (pt 1072 592) ) (connector (pt 1072 32) (pt 912 32) ) (connector (pt 1360 672) (pt 1064 672) ) (connector (pt 912 88) (pt 1064 88) ) (connector (pt 1064 88) (pt 1064 672) ) (connector (pt 1360 848) (pt 1056 848) ) (connector (pt 1056 848) (pt 1056 144) ) (connector (pt 912 144) (pt 1056 144) ) (connector (pt 1360 928) (pt 1048 928) ) (connector (pt 1048 928) (pt 1048 240) ) (connector (pt 1048 240) (pt 912 240) ) (connector (pt 1360 608) (pt 504 608) ) (connector (pt 504 608) (pt 504 328) ) (connector (pt 376 328) (pt 504 328) ) (connector (pt 1360 688) (pt 496 688) ) (connector (pt 496 688) (pt 496 536) ) (connector (pt 496 536) (pt 384 536) ) (connector (pt 1360 864) (pt 488 864) ) (connector (pt 488 864) (pt 488 736) ) (connector (pt 488 736) (pt 384 736) ) (connector (pt 1360 944) (pt 848 944) ) (connector (pt 848 944) (pt 848 952) ) (connector (pt 1360 624) (pt 992 624) ) (connector (pt 992 560) (pt 992 624) ) (connector (pt 992 560) (pt 1016 560) ) (connector (pt 1016 560) (pt 1016 568) ) (connector (pt 1360 976) (pt 1336 976) ) (connector (pt 1336 976) (pt 1336 1016) ) (connector (pt 568 704) (pt 568 296) ) (connector (pt 568 296) (pt 440 296) ) (connector (pt 440 296) (pt 440 16) ) (connector (pt 472 16) (pt 440 16) ) (connector (pt 440 16) (pt 104 16) ) (connector (pt 392 880) (pt 392 24) ) (connector (pt 456 24) (pt 392 24) ) (connector (pt 392 24) (pt 72 24) ) (connector (pt 344 960) (pt 344 32) ) (connector (pt 448 32) (pt 344 32) ) (connector (pt 344 32) (pt 40 32) ) (connector (pt 568 704) (pt 1360 704) ) (connector (pt 960 640) (pt 1360 640) ) (connector (pt 960 640) (pt 960 880) ) (connector (pt 392 880) (pt 960 880) ) (connector (pt 960 880) (pt 1360 880) ) (connector (pt 968 720) (pt 1360 720) ) (connector (pt 968 720) (pt 968 960) ) (connector (pt 1360 960) (pt 968 960) ) (connector (pt 968 960) (pt 344 960) ) (connector (pt 1360 896) (pt 480 896) ) (connector (pt 480 896) (pt 480 912) ) (connector (pt 360 912) (pt 480 912) ) (connector (pt 360 912) (pt 360 40) ) (connector (pt 432 40) (pt 360 40) ) (connector (pt 360 40) (pt 8 40) ) (connector (pt 1528 384) (pt 1576 384) ) (connector (pt 1616 400) (pt 1528 400) ) (connector (text "O1" (rect 1922 504 1936 516)(font "Arial" )) (pt 1928 520) (pt 1752 520) ) (connector (pt -536 128) (pt -536 280) ) (connector (pt -536 -264) (pt -536 48) ) (connector (pt -536 48) (pt -536 128) ) (connector (pt -680 112) (pt -520 112) ) (connector (pt -280 376) (pt -328 376) ) (connector (pt -280 80) (pt -328 80) ) (connector (pt -280 312) (pt -328 312) ) (connector (pt -328 376) (pt -328 312) ) (connector (pt -280 144) (pt -328 144) ) (connector (pt -328 312) (pt -328 144) ) (connector (pt -328 80) (pt -328 144) ) (connector (text "CLK" (rect -409 -688 -388 -676)(font "Arial" )) (pt -416 -672) (pt -328 -672) ) (connector (pt -280 -496) (pt -328 -496) ) (connector (pt -328 -672) (pt -328 -496) ) (connector (pt -280 -432) (pt -328 -432) ) (connector (pt -328 -496) (pt -328 -432) ) (connector (pt -280 -312) (pt -328 -312) ) (connector (pt -328 -432) (pt -328 -312) ) (connector (pt -280 -248) (pt -328 -248) ) (connector (pt -328 -312) (pt -328 -248) ) (connector (pt -328 -248) (pt -328 80) ) (connector (pt -280 360) (pt -296 360) ) (connector (pt -280 64) (pt -296 64) ) (connector (pt -280 32) (pt -296 32) ) (connector (pt -280 96) (pt -296 96) ) (connector (pt -296 96) (pt -296 64) ) (connector (pt -280 128) (pt -296 128) ) (connector (pt -296 128) (pt -296 96) ) (connector (pt -280 264) (pt -296 264) ) (connector (pt -296 264) (pt -296 128) ) (connector (pt -280 296) (pt -296 296) ) (connector (pt -296 296) (pt -296 264) ) (connector (pt -280 328) (pt -296 328) ) (connector (pt -296 360) (pt -296 328) ) (connector (pt -296 328) (pt -296 296) ) (connector (pt -280 -264) (pt -296 -264) ) (connector (pt -296 -264) (pt -296 32) ) (connector (pt -296 32) (pt -296 64) ) (connector (pt -280 -544) (pt -296 -544) ) (connector (pt -280 -512) (pt -296 -512) ) (connector (pt -280 -480) (pt -296 -480) ) (connector (pt -296 -512) (pt -296 -480) ) (connector (pt -280 -448) (pt -296 -448) ) (connector (pt -296 -480) (pt -296 -448) ) (connector (pt -280 -328) (pt -296 -328) ) (connector (pt -280 -360) (pt -296 -360) ) (connector (pt -296 -448) (pt -296 -360) ) (connector (pt -296 -360) (pt -296 -328) ) (connector (pt -280 -296) (pt -296 -296) ) (connector (pt -296 -328) (pt -296 -296) ) (connector (pt -296 -296) (pt -296 -264) ) (connector (pt -536 -696) (pt -488 -696) ) (connector (pt -488 -696) (pt -296 -696) ) (connector (pt -296 -696) (pt -296 -544) ) (connector (pt -296 -544) (pt -296 -512) ) (junction (pt 216 728)) (junction (pt 192 760)) (junction (pt 128 720)) (junction (pt 120 736)) (junction (pt 208 320)) (junction (pt 184 352)) (junction (pt 120 312)) (junction (pt 112 328)) (junction (pt 216 528)) (junction (pt 192 560)) (junction (pt 128 520)) (junction (pt 120 536)) (junction (pt 216 944)) (junction (pt 192 976)) (junction (pt 128 936)) (junction (pt 120 952)) (junction (pt 528 56)) (junction (pt 528 128)) (junction (pt 528 200)) (junction (pt 528 272)) (junction (pt 544 8)) (junction (pt 544 80)) (junction (pt 544 152)) (junction (pt 544 224)) (junction (pt 104 64)) (junction (pt 88 104)) (junction (pt 72 144)) (junction (pt 56 184)) (junction (pt 1112 832)) (junction (pt 1112 656)) (junction (pt 1112 576)) (junction (pt 1128 336)) (junction (pt 1128 168)) (junction (pt 1128 88)) (junction (pt 912 -160)) (junction (pt 1072 -112)) (junction (pt 96 256)) (junction (pt 80 264)) (junction (pt 64 272)) (junction (pt 24 280)) (junction (pt -552 -608)) (junction (pt -560 -592)) (junction (pt -552 -376)) (junction (pt -560 -360)) (junction (pt -536 280)) (junction (pt -536 48)) (junction (pt -536 128)) (junction (pt -536 -576)) (junction (pt -536 -496)) (junction (pt -536 -344)) (junction (pt -536 -264)) (junction (pt 104 16)) (junction (pt 72 24)) (junction (pt 40 32)) (junction (pt 8 40)) (junction (pt -552 16)) (junction (pt -560 32)) (junction (pt -136 -512)) (junction (pt -136 -480)) (junction (pt -720 -544)) (junction (pt -712 -464)) (junction (pt -152 -328)) (junction (pt -144 -296)) (junction (pt -600 -168)) (junction (pt -584 -160)) (junction (pt -144 64)) (junction (pt -152 96)) (junction (pt -624 -64)) (junction (pt -608 -24)) (junction (pt -144 296)) (junction (pt -136 328)) (junction (pt -552 328)) (junction (pt -560 408)) (junction (pt -872 104)) (junction (pt -888 192)) (junction (pt -896 344)) (junction (pt -904 424)) (junction (pt 104 -512)) (junction (pt 72 -480)) (junction (pt 40 -328)) (junction (pt 8 -296)) (junction (pt 1336 544)) (junction (pt 1328 560)) (junction (pt 1328 72)) (junction (pt 1336 56)) (junction (pt 1328 320)) (junction (pt 1336 304)) (junction (pt 440 16)) (junction (pt 392 24)) (junction (pt 344 32)) (junction (pt 960 880)) (junction (pt 968 960)) (junction (pt 360 40)) (junction (pt -328 80)) (junction (pt -328 312)) (junction (pt -328 144)) (junction (pt -328 -496)) (junction (pt -328 -432)) (junction (pt -328 -312)) (junction (pt -328 -248)) (junction (pt -296 64)) (junction (pt -296 32)) (junction (pt -296 96)) (junction (pt -296 128)) (junction (pt -296 264)) (junction (pt -296 296)) (junction (pt -296 328)) (junction (pt -296 -264)) (junction (pt -296 -544)) (junction (pt -296 -512)) (junction (pt -296 -480)) (junction (pt -296 -448)) (junction (pt -296 -328)) (junction (pt -296 -360)) (junction (pt -296 -296)) (junction (pt -488 -696)) (text "s" (rect 1640 736 1647 750)(font "Arial" (font_size 8)))